The Power Of Computational Software: From Revolutionizing Chips To Cancer Research

AI is poised to deliver a transformative leap for EDA and systems design.

popularity

This post is an excerpt from the keynote presentation at CadenceLIVE India, given by Nimish Modi, senior vice president and general manager of Strategy and New Ventures at Cadence.

The semiconductor industry has grown significantly lately but follows a cyclical pattern marked by fluctuations. Currently, we are witnessing a macro-level correction aimed at resolving inventory imbalances. Nevertheless, semiconductors underpin their functionality as the bedrock of various technologies and products. This foundational role provides the sustained growth of the semiconductor field.

A historical examination spanning three decades reveals that distinct driving forces have emerged at different junctures—the rise of PCs, the advent of the internet, and the proliferation of smartphones, for example. The current landscape diverges in those multiple significant drivers and is concurrently shaping the industry. Each of these drivers carries a substantial influence on its own, progressing at varying rates and stages of maturity. Despite these disparities, they all share a common denominator: semiconductors. This unifying factor continues to fuel the demand for innovation in high-performance computing, high-bandwidth connectivity, or high-density storage.

Projections indicate that this industry will burgeon into a trillion-dollar domain by the decade’s close. Behind these impressive figures lies a wealth of groundbreaking developments driven by collaborative efforts. Yet, it’s imperative to note that the significance of semiconductors extends beyond their capabilities. They are pivotal within larger systems, integral to their optimal functioning. The systems market, valued at an impressive $3 trillion and expanding, complements this narrative.

Semiconductor growth drivers

There exists a variety of strategic drivers for growth that are poised to contribute to long-term momentum. These drivers, often called long-term tailwinds, are set to propel increased design initiations, heightened design engagements, and expanded semiconductor production volumes. Among these, one notable driver is the rise of system companies crafting custom silicon solutions, signifying a trend toward vertical integration. This shift aligns with the current focus on applications, shaping system architecture needs. The demand for semiconductors is intricately tied to this interplay, with the era of one-size-fits-all solutions fading. Diverse computational fabrics offer tailored silicon for specialized computing resources. This trend is evident in industry giants like AWS and Google, who have ventured into custom silicon development.

Moore’s Law is still impactful despite its slower pace. Designs at 7nm, 5nm, and 3nm are underway, with plans for 2nm. The trajectory for Moore’s Law is clear for the next 12 to 15 years, while the “More than Moore” paradigm is gaining momentum through advanced packaging and data-centric innovations. These factors are driving semiconductor innovation and production.

Intelligent system design strategy

Cadence’s strategy is embodied in the “Intelligent System Design Strategy,” represented by three interconnected circles: silicon, system simulation, and data. This model is the foundation of our approach. Our strategy centers on computational software—mathematics and computer science—forming the fundamental principles. These align with our expertise, driving the development of parallel algorithms using vast datasets to tackle complex challenges effectively.

We have a robust foundation in EDA and IP, with distinct technological components that we seamlessly integrate into a comprehensive platform. Our accomplishments include digital synthesis, place-and-route, signoff procedures, and electrical and physical validations.

The transformative leap predicted by AI

Verification covers the whole spectrum of various methodologies, including formal, virtual, emulation, prototyping, and simulation, as well as the same considerations for custom and analog domains. Regarding intellectual property (IP), there are two core components: Tensilica processing IP and interface IP. Cadence’s recent acquisition of select assets from Rambus, including HBM and GDDR, is crucial for artificial intelligence and machine learning (AI/ML) applications.

The second circle applies computational software to system-level tasks—design, analysis, signal/power integrity, and thermal—and extends into computational fluid dynamics (CFD) via acquisitions. The goal is a multiphysics platform strategy, progressively building capabilities. This software also applies to data, forming AI’s basis for semiconductors and systems.

Strategies apply across sectors: consumer electronics, mobile, hyperscale, and emerging fields like aerospace, automotive, and life sciences. Addressing chip complexity (trillions of transistors) requires more than linear resource growth. This is where EDA history enters, as automation and innovation have historically driven progress. Yet, even more, groundbreaking automation is needed to cope with this complexity surge, where computational software plays a pivotal role.

EDA’s evolution is an abstraction from transistors to SystemC, progressing from standard cells to reuse. The transformative leap predicted is AI, holding immense potential. This shift could yield unprecedented productivity gains, surpassing prior progress.

AI-driven Cadence tools

Over the years, Cadence has become immersed in AI research and integration. AI algorithms enhance core tools, expanding capabilities. Recent progress extends to design flows, with new generative AI (GenAI)-powered products across domains, distinguishing us from EDA history. Our innovation uses AI-powered reinforcement learning for multi-run optimization, producing superior chips swiftly.

Innovative tools built upon the foundation of the Cadence JedAI platform serve as a comprehensive repository for design data, including verification and intermediate multi-run data. The APIs allow customers to interact directly with JedAI, enhancing flexibility and integration. Cadence Cerebrus Intelligent Chip Explorer, a GenAI-backed tool, delivers impressive outcomes for diverse design scenarios with significant productivity and power benefits. It offers half a node’s progress in a 5nm chip with 5M+ instances. Real customer designs reflect its effectiveness.

AI-driven verification platform

While verification is critical, it is often the main bottleneck in achieving swift time to market. It consumes resources, especially debugging. Identifying issues takes much time. The Cadence Verisium AI-Driven Verification Platform optimizes workflows using AI and big data. It suggests engines, enhances coverage, aids debugging, and optimizes issue identification. It helps with waveform analysis, bug pinpointing, and promptly flagging erroneous check-ins. The Verisium platform could revolutionize verification.

Automated analog design progress

Virtuoso Studio, a flagship in the Cadence lineup, is renowned for custom analog solutions. Automating analog design has been challenging due to its complexity. AI integration in Virtuoso Studio marks a significant shift, especially in memory layout and analog automation. The outcomes have been striking, exhibiting a noteworthy threefold increase in productivity—arguably a monumental stride in analog design. This is just the beginning; more transformative progress awaits. These advances will reshape domains, showcasing our commitment to analog design innovation.

PCB and system innovation

Cadence Allegro PCB technology covers packaging and PCB design. While PCB design is manual, applying core algorithms can offer significant benefits. Unlike chip design, PCB design deals with fewer objects. Integrating algorithms leads to substantial productivity boosts, often ten times better, using potent customer codes.

In the system design area, our product suite spans electromagnetic, thermal, signal, and power domains tackled by our Fidelity products, including FEA and CFD. Using core algorithms transforms simulations. For example, applying sparse matrix solvers to finite element simulations dramatically enhances performance. The Clarity EM Solver, an electromagnetic tool, shows substantial gains while maintaining accuracy.

In CFD simulations, matrix multiplication techniques similar to AI practices are applied. Using GPUs for accelerated computation, particularly in system design, leads to a remarkable improvement. Our collaboration with NVIDIA underscores our commitment to harnessing these algorithms across EDA, system design, AI, and bioinformatics, showcasing a comprehensive approach to innovation.

AI optimization in design

The Optimality Intelligent System Explorer is the latest addition to the GenAI portfolio, enhancing simulation through computational software. The true value of integrating AI lies in optimization, significantly improving outcomes. The Optimality Explorer uses algorithms in a way similar to Cadence Cerebrus, leveraging reinforcement learning. Its potential and value are apparent, promising solid results.

Advanced packaging expertise

Cadence has a rich history in advanced packaging, leading the way for decades with deep expertise. We accurately anticipated progress in advanced packaging, now driving the More than Moore trend. Cadence has advanced packaging expertise in 3D-IC and chiplets. The shift from monolithic to modular chips offers size and performance benefits but requires collaboration and system-level partitioning. The Cadence Integrity 3D-IC Platform excels in fast interconnects, from planning to signoff, and works seamlessly with TSMC and Samsung Foundry for effective utilization.

Cadence cloud portfolio

The potential of cloud technology is undeniable, particularly in its ability to facilitate widespread access to design resources. This empowers individuals to concentrate on their core competencies, with engineers dedicated to the actual IP design while leveraging the cloud for scalability, elastic computing, and related advantages. Cadence has a comprehensive cloud portfolio that works with cloud service providers and foundries to ensure secure hosting. This includes a range of usage scenarios and business models like pay-per-use and e-commerce solutions.

Future of data centers

Cadence journey in EDA began as computational software version 1.0 and evolved into a platform-oriented phase with machine learning and parallel algorithms, addressing domains like electrical, mechanical, and thermal aspects. We can extend physics-based modeling principles used in chip and system design to data centers, optimizing heat transfer and energy usage. With the acquisition of Future Facilities, we can create digital twins of data centers, leading to tangible improvements.

Biosimulation accelerating pharma innovation

Biosimulation, a captivating field within life sciences, parallels Moore’s law in technology, driving pharmaceutical innovation. While R&D investments remain high, FDA-approved molecules decline by half every nine years, demanding a strategic shift. Drug development involves introducing many molecules and streamlining for speedy discovery. Despite massive investments and long cycles, setbacks can occur in the final stages. Our acquisition of OpenEye, with its molecular modeling and simulation expertise, algorithmic proficiency, and vast datasets, could revolutionize drug development and innovation trajectories.

Conclusion

Computational software is poised to revolutionize the upcoming era. We’re tackling some truly intricate challenges, and our objective is to formulate solutions that positively impact a wide range of areas, from microchips to cancer research.



Leave a Reply


(Note: This name will be displayed publicly)