A Journey Of Innovation

Turning a basic technology into a commercially available product.

popularity

When Dr. Shay Wolfling, a physics expert, joined Nova as its CTO about 11 years ago, very little about the company was the same as it is today. Over the past decade, Nova has experienced tremendous growth, acquiring two companies, significantly increasing its revenue and employee count, and shifting its technology direction and product lines. Yet, one constant remains: commitment to innovation as a daily working method.

Nova is a provider of metrology solutions for the semiconductor industry. Founded in 1993 in Israel, the company currently employs over 1,100 people across eight countries worldwide and is listed on Nasdaq and the TA-35 index on the Tel Aviv Stock Exchange. Nova serves leading semiconductor manufacturers such as Intel, Samsung, and TSMC with its advanced high-tech systems for quality control processes throughout the entire chip production chain.

Breakthrough solutions for manufacturing process control

The chip industry drives the technological revolution in the digital age and has been required to progress at an unprecedented pace during the COVID-19 pandemic. Like many other sectors, the long-term success of the semiconductor manufacturing industry depends on its ability to turn innovative ideas into commercially viable products. The technology development process – from proving feasibility to commercial production – is a complex challenge that demands extensive expertise and investment in various areas, a prolonged development timeline, and exceptional innovation capabilities.

Tight control over the production process is essential for success, and this is where Nova plays a crucial role. In the semiconductor industry, they deal with sophisticated computer chips composed of billions of tiny devices measured in nanometers. During the production process, numerous materials with properties that are highly sensitive to contamination and process variations are used. Reliable control systems are necessary to allow semiconductor manufacturers to monitor the manufacturing process, detect potential issues, and standardize operations in advance.

As Wolfling explains, “We take new and cutting-edge metrology technologies, refine them, and bring them to the world’s most advanced production lines to provide the semiconductor industry with groundbreaking solutions for process control. Our products integrate optical, mechanical, and advanced electronics components with innovative software and algorithms, giving top chip manufacturers an accurate and dependable view of what’s happening during the development and production of the most advanced chips.”

There is always a way through the wall

Nova started its journey in Dimensional Metrology and has since grown and diversified through acquisitions. Today, the company operates three divisions: the Dimensional Metrology Division, the company’s original division established in Israel; the Materials Metrology Division, established in 2015 with the acquisition of ReVera and serving as Nova’s R&D and production center in California; and the Chemical Metrology Division, founded in 2022 through the acquisition of ancosys GmbH and serving as Nova’s R&D and production center in Germany.

Wolfling is a graduate of the IDF’s Talpiot project and a former entrepreneur who founded Nano Light Technologies, a start-up that developed a unique technology that was eventually sold to a Belgian company. After the Belgian company was acquired by KLA, Wolfling continued to work there until joining Nova. He leads the CTO group with over 20 researchers, half of whom hold Ph.D. degrees, as well as 30+ technology experts across the company’s divisions, creating a significant concentration of scientific talent within Nova.

What is the CTO group you lead responsible for?

“The CTO group is responsible for developing and implementing long-term technology strategies. This involves starting new product lines and expanding existing ones, both organically and through acquisitions. We have a close relationship with customers to ensure our innovation meets their needs and provides practical, business and technology solutions for the industry both now and in the future. The group is given freedom for innovation and research, but it is not just academic work. We always consider the needs of the industry and upcoming problems, and it gives us great satisfaction when our innovation successfully meets customer needs.

“In addition, the CTO group is also accountable for Nova’s global intellectual property matters, taking part in government initiatives and programs, and overseeing partnerships with research institutes, academic institutions, and industry partners.”

Wolfling explains that the “long-term technological advancement occurs globally, with advanced technology units in each division. These are multidisciplinary teams made up of physicists, algorithm specialists, electrical engineers, and process engineers who conduct research and feasibility tests within their respective areas of expertise. These teams report to the division managers in terms of organization, but are professionally accountable to the CTO, allowing for multiple innovation cycles and creating synergies across the company and a comprehensive overview of future products and technologies.

“We adhere to the principle that even as a market leader, it’s not sufficient to simply enhance products, but new capabilities must be brought to maintain that leadership. It’s fulfilling to see employees who started developing new technologies 7 or 8 years ago still contributing to the development of new capabilities as those products reach customers. These achievements reinforce our confidence that even the seemingly insurmountable tasks can be overcome. There’s always a path through the obstacle.”

Resting on one’s laurels is boring

Taking an innovative vision at Nova is a daily experience for the company’s employees. Dr. Anna Hirsch, who has been working at Nova for three years as an Algorithms Specialist, shares her perspective on what it’s like. She holds a bachelor’s degree in materials engineering, as well as a master’s degree and a doctorate in computational chemistry from the Weizmann Institute. After completing her doctorate, she joined Nova.

“The essence of innovation is doing something that you’re not sure how to do. There’s no set protocol or prior knowledge; you have to come up with the solution on your own. It’s not a straightforward process,” explains Hirsch. “To enjoy it, you have to be willing to embrace the unknown, not shy away from it. We work as a team, consult each other, and everyone contributes their unique expertise. Our solutions are interdisciplinary and encompass aspects of physics, chemistry, materials engineering, electrical engineering, algorithmics, and more.

“We embrace the challenge of starting from scratch and pushing the boundaries of what is known. This is what drives us and makes coming to work every day exciting. As a multidisciplinary team, we draw on a range of expertise in physics, chemistry, materials engineering, electrical engineering, and algorithmics to find innovative solutions. Our work environment encourages thinking outside the box and taking risks, with a supportive team to back us up. When we achieve a breakthrough, it’s incredibly satisfying, but we never rest on our laurels. There’s always another question or challenge waiting for us, keeping us motivated and engaged.”

How does this work?

We hold team meetings every week to discuss and address any challenges that arise. The collective dialogue is crucial because it provides an opportunity to bring up problems, even if no one else currently has a solution. Our goal is to foster continuous innovation and keep the creative process flowing. By encouraging interaction and collaboration, we create an environment that allows for diverse thought processes and new ideas to emerge. This is why we place great importance on open communication and mutual stimulation within our team.

From the laboratory to the production line

The integration of advanced and diverse materials into the chip manufacturing process posed a challenge that required the development of new systems and technologies. Nova took on this challenge by transitioning laboratory technologies into commercial systems through the process known as “lab-to-fab.” A noteworthy example of this is Nova ELIPSON, a metrology system for characterizing materials using Raman spectroscopy – a technology that earned its inventor a Nobel Prize.

The development of the Elipson technology at Nova involved a journey from the lab to the fab. A research project, led by the company’s CTO group and involving collaboration with academia and leading customers, resulted in a prototype that showed the capability to characterize materials using Raman spectroscopy. The team was then expanded to include hardware, software, and theoretical model development units. The technology was then improved and adapted to a commercial product suited for 24/7 operation on production lines. The positive feedback from customers demonstrated the commercial potential of the technology, and it was eventually launched as a product. Now, the technology is manufactured and sold commercially.

“Turning a basic technology into a commercially available product is a rare feat in our industry,” says Wolfling. “This process is a testament to our innovation. We not only added new capabilities, but also established a completely new technology product line for Nova.

“At Nova, we provide ample opportunities for our researchers to be creative and innovative. However, we are not just an academic institution. Our focus is on meeting the needs of the industry and anticipating future challenges. When we are able to align our innovations with their needs, it brings great satisfaction and success.”


Tags:

Leave a Reply


(Note: This name will be displayed publicly)