European Mask And Lithography Conference 2024 Worth Attending


The European Mask and Lithography Conference (EMLC) 2024 recently was held in Grenoble, France, and had about 190 participants from a wide range of companies and institutions. Being relatively new to the field of lithography (my background is EDA, machine learning, optimization) and not being a fan of gigantic conferences, I thought it would be a good idea to visit this conference. My main p... » read more

Photoresist Materials Development


Toru Fujimori of FUJIFILM Corporation provides an overview of the development of photoresist materials for masks and wafers to support continued pattern shrinkage and address stochastic issues in lithography. » read more

A Wrap-Up Of Photomask Japan 2024


This year, I had the opportunity to attend the 30th Symposium on Photomask and NGL Mask Technology, also called Photomask Japan 2024 (PMJ), in Yokohama, Japan, from April 16 to 18. This well-regarded symposium brings together engineers from all over the world to share ideas on photomasks, NGL masks and related technologies. It was great being back to an in-person conference after four years ... » read more

eBeam Initiative Marks Major Milestones Over 15 Years Of Photomasks And Lithography


The eBeam initiative celebrated its 15th anniversary at the recent SPIE Advanced Lithography + Patterning Conference. 130 members of the mask and lithography community attended the annual lunch to mark the milestone. The eBeam Initiative welcomed its 53rd member, FUJIFILM Corporation, having grown from 20 members and advisors at its launch. FUJIFILM is the first company from the chemical supply... » read more

Industry Luminaries Highlight Opportunities For Advancing The Non-EUV Leading Edge


The eBeam Initiative’s 12th annual Luminaries survey in 2023 reported a range of nodes from >5nm to 14nm as the most advanced non-EUV nodes using 193i lithography. A panel of semiconductor photomask and lithography experts debated several of the survey results, including this one, to provide more insights behind the results. Aki Fujimura, CEO of D2S, Inc., the managing company sponsor of t... » read more

Center For Deep Learning In Electronics Manufacturing: Bringing Deep Learning To Production For Photomask Manufacturing


The Center for Deep Learning in Electronics Manufacturing (CDLe) was formed as an alliance between D2S, Mycronic and NuFlare Technology in autumn 2018. Assignees from each alliance partner worked with deep learning (DL) experts under the leadership of Ajay Baranwal, director of CDLe. The CDLe’s mission was to 1) turn DL into a core competency inside each of the companies and 2) do DL projects... » read more

Why Curvy Design Now? Less Change Than You Think And Manufacturable Today


A curvilinear (curvy) chip, if magically made possible, would be smaller, faster, and use less power. Magic is no longer needed on the manufacturing side, as companies like Micron Technology are making photomasks with curvy shapes using state-of-the-art multi-beam mask writers today. Yet the entire chip-design infrastructure is based on the Manhattan assumption of 90-degree turns, even though i... » read more

Why Curvy Design Now? Manufacturing Is Possible And Scaling Needs It


Have you ever seen roots or tree branches take a 90-degree turn? Have you ever seen a river that takes a 90-degree turn? Nature doesn’t do 90-degree turns, or for that matter any sharp angle turns – not even 135 degrees. Yet the entire chip-design infrastructure is based on the Manhattan assumption of 90-degree turns. While it would take time to change, is there any doubt that a curvilinea... » read more

Multi-Beam Writers Are Driving EUV Mask Development


By Jan Hendrik Peters (bmbg consult) and Ines Stolberg (Vistec Electron Beam) The European Mask and Lithography Conference (EMLC) 2023, held in Dresden this past June, was attended by about 180 people and over 60 talks and posters were presented. With several keynote and invited talks over two and a half days, the conference gave an overview of the semiconductor and technology landscape in E... » read more

Reflections On Photomask Japan 2023: Embracing The Era Of Curvilinear Masks


In April, 2023, I had the privilege of participating in Photomask Japan 2023 (PMJ2023), a web conference that brought together experts and enthusiasts in the field. The conference commenced with an enlightening keynote talk by Dr. Kurt Ronse of imec on the status and challenges of the high NA EUV ecosystem, presenting roadmaps for the introduction of high NA EUV. I would like to express my grat... » read more

← Older posts