High-NA EUV Complicates EUV Photomask Future


The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported EUV fueling growth of the semiconductor photomask industry while a panel of experts cited a number of complications in moving to High-NA EUV during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 companies from across the semiconductor ecosystem partic... » read more

For The Love Of Theatre And Mask-Making


Naoya Hayashi has been a friend and important contributor to the eBeam Initiative from our start over 13 years ago. We’re just one of the many interests he has embraced and championed over his 45 year career at DNP. Now it’s our turn to embrace him and thank him for the wonderful memories as he pursues his next chapter after retiring as the first research fellow from DNP this June. Aki Fuji... » read more

The Changing Mask Landscape


Semiconductor photomasks have undergone some major technology changes in the past few years after relatively minor changes for many years. New technologies such as multi-beam mask writers and extreme ultraviolet (EUV) lithography are major breakthroughs as they ramp into high-volume manufacturing. A new trend related to these technologies is the use of curvilinear features on photomasks. Aki... » read more

Curvilinear Photomasks Can Be Made Today


Multi-beam mask writers (MBMWs) and GPU-accelerated curvilinear ILT are enabling curvilinear photomasks to be made today. Despite the benefits of improved process windows, curvilinear photomask adoption is slow. Industry luminaries surveyed by the eBeam Initiative in 2021 ranked photomask inspection and infrastructure as the top barriers to adoption, as shown in figure 1. Yet only 4% say the b... » read more

The Right Project Is Key For Photomask Adoption Of Deep Learning


Deep learning (DL) has become an integral part of the success of many companies. There have been many papers and some reported successes in semiconductor manufacturing, yet only 22% of the luminaries participating in the 2021 eBeam Initiative Luminaries survey see DL as a competitive advantage for photomask making by next year, as shown in figure 1. Looking at that chart, the luminaries believe... » read more

Luminaries See Growth Opportunities For Photomask Writers


Multi-beam mask writers (MBMWs) are the new kid on the block of the photomask writers, so growth predictions aren’t surprising. In fact, 90% of the industry luminaries surveyed by the eBeam Initiative think that new MBMW purchases will increase over the next three years, as shown in figure 1. Looking at that chart, industry luminaries predict sales of new photomask writers to increase across ... » read more

Perspectives On Why EUV Photomasks Are More Expensive


There are fewer photomasks per wafer using EUV lithography, but each EUV photomask is more expensive. Given that, it’s not a surprise that a majority (74%) of industry luminaries surveyed in July say that EUV photomasks will contribute to an increase in photomask revenues for 2021 as shown in figure 1. In a 20-minute video, a panel of experts share their perspectives on what drives EUV photom... » read more

Semiconductor Photomask Revenues Predicted To Increase In 2021


A majority (72%) of industry luminaries surveyed in July predict an increase in photomask revenues for 2021, as shown in figure 1. SEMI also predicts revenues to increase around 9% from $4.4B in 2020 to $4.8B in 2021. In a 12-minute video, a panel of experts share their perspectives on what’s behind the growth trend, how the pandemic has impacted the photomask industry, and how it compares to... » read more

Curvilinear Design Benefits For Wafers


Throughout this blog series the focus has been on curvilinear photomasks – the benefits, enablers, and challenges. It leads to the obvious question that Aki Fujimura, CEO of D2S, put to the panel of luminaries. If leading-edge mask shops are ready for curvilinear shapes on mask enabled by curvilinear ILT, multi-beam mask writers and the mask design chain, can we have curvilinear target shapes... » read more

Optimizing VSB Shot Count For Curvilinear Masks


The increased photomask write time using a variable-shape e-beam (VSB) writer has been a barrier to the adoption of inverse lithography technology (ILT) beyond the limited usage for hot spots. The second installment of this video blog looked at the challenge in depth. In this five-minute panel video with industry luminaries, Ezequiel Russell describes the collaborative study between his company... » read more

← Older posts Newer posts →