Handoff Points Getting Blurry


Whether driven by [getkc id="74" comment="Moore's Law"] or just sheer complexity, the way information is passed through the design and test flow is changing. For the past couple of process generations, there has been a concerted push by tool vendors and their customers to run more steps earlier in a flow, sometimes concurrently. While this so-called "shift left" helps to speed up software de... » read more