Home
TECHNICAL PAPERS

A Chiplet-Based Supercomputer For Generative LLMs That Optimizes Total Cost of Ownership

popularity

A technical paper titled “Chiplet Cloud: Building AI Supercomputers for Serving Large Generative Language Models” was published by researchers at University of Washington and University of Sydney.

Abstract:

“Large language models (LLMs) such as ChatGPT have demonstrated unprecedented capabilities in multiple AI tasks. However, hardware inefficiencies have become a significant factor limiting the democratization of LLMs. We propose Chiplet Cloud, an ASIC supercomputer architecture that optimizes total cost of ownership (TCO) per token for serving generative LLMs. Chiplet Cloud fits all model parameters inside the on-chip SRAMs to eliminate bandwidth limitations while moderating the die size to improve system costs while leveraging software mappings to overcome data communication overhead. We propose a comprehensive design methodology that accurately explores a spectrum of major design trade-offs in the joint space of hardware-software and generates a detailed performance-cost analysis on all valid design points. We evaluate Chiplet Cloud on four popular LLMs. Compared to GPU and TPU, our architecture can achieve up to 94x and 15x improvement in TCO/Token respectively, significantly reducing the cost for realistically serving modern LLMs.”

Find the technical paper here. Published: July 2023 (preprint)

Peng, Huwan, Scott Davidson, Richard Shi, Shuaiwen Leon Song, and Michael Taylor. “Chiplet Cloud: Building AI Supercomputers for Serving Large Generative Language Models.” arXiv preprint arXiv:2307.02666 (2023).



Leave a Reply


(Note: This name will be displayed publicly)