Custom Hardware Thriving

Predictions about software-driven design with commoditized IoT hardware were wrong.

popularity

In the early days of the IoT, predictions about the commoditization of hardware and the end of customized hardware were everywhere. Several years later, those predictions are being proven wrong.

Off-the-shelf components have not replaced customized hardware, and software has not dictated all designs. In fact, in many cases the exact opposite has happened. And where software does play an elevated role in those designs, it often is part of a tighter integration of hardware and software for power and/or performance reasons.

This is precisely what happened with software-defined networking. “People said, ‘Oh yes, this is going to lead to the commoditization of hardware. I don’t really care whether it’s a Cisco or Juniper or some black box. Software is so cool and smart that I’m going to do all those great things at the software stack, and not necessarily on the hardware,'” recalled Anush Mohandass, vice president of marketing and business development at NetSpeed Systems. “It was a great concept except that at implementation you realize hardware is the guy that uses that edge. Software does a lot of things, but to give that flexibility on the software side requires a lot more on the hardware side. How different SDN companies took that was to create their own custom hardware with their own set of requirements.”

Case in point: Intel has 97% market share in data centers, but instead of building the ultimate processing machine and selling the same device to everybody, it recognized that what Facebook wants is going to be different from what Tencent or Docomo are looking for.

“With that customization, if you think you can commoditize hardware, that’s a mythical concept,” Mohandass said. “There’s always a struggle of what you can do in hardware, and what you can do in software. But you can’t commoditize hardware. It’s not possible.”

The only way this scenario could possibly flip would be if commoditization of hardware added value, but this is not the way the semiconductor industry has evolved. There is innovation occurring on many fronts, despite industry consolidation, and that trend shows no signs of abating.

“Cache coherency is a classic example,” Mohandass said. “The reason why cache coherency is done in hardware is because when people looked at the size of what it takes to develop anything, they saw that the software team was four times as big as the hardware team. Writing software to understand all these different scenarios to make sure everything is done in software is hard, so they realized they must shift that burden to hardware. Last year Google, the quintessential software company, announced a whole initiative on hardware.”

In the past, custom SoCs were typically large complex SoCs, and often predominately digital, said Phil Burr, senior product marketing manager in ARM’s CPU Group. “The current growth of custom silicon is happening in the smaller ‘smart device’ type of products, typically involving integrating digital processing with analog IP to create a more compelling product. It is often OEMs that create custom SoCs – often because they can create smaller, lower-power, more reliable and more differentiated products. Being able to mop up a swath of analog along with a processor is very compelling.” For example, an ARM partner reported an 85% reduction in bill of materials, and a 95% reduction in PCB area by using a custom SoC.

To be sure, these benefits have been key drivers over the last 5 to 10 years, whereas the intellectual property protection offered by custom SoCs has only more recently become a key factor, he pointed out.

“OEMs are very aware there are cases where rogue competitors have simply made a copy of a PCB to create an exact product replica,” Burr said. “It is much harder to do this in a custom SoC. One of the key drivers of custom SoCs has clearly been the availability of standardized, proven IP blocks that can be easily integrated – CPUs, subsystems, connectivity, physical IP and analog blocks. This has significantly driven down the cost of custom silicon development. OEMs shouldn’t think that custom silicon needs to be expensive. It doesn’t have to be. Most custom silicon is developed on more mature process nodes (such as 90nm or 180nm) where the costs are a fraction of the leading-edge nodes. In fact, in many cases the custom devices don’t benefit from leading edge silicon process. Analog IP is more ideally suited to the more mature geometries.”

Prasad Subramaniam, vice president of R&D and design technology at eSilicon, agrees. He sees custom design from two perspectives. The first, traditional viewpoint is that custom design is everything that’s done at the transistor level. The second is that, in light of the fact that the vast majority of designs today are comprised of pre-defined/off-the-shelf building blocks, if off-the-shelf building blocks are not used, the design can be considered custom.

To the point on early speculation about hardware commoditization, he suggested that even though there is specialized logic in something like an IoT edge node device, it’s still logic, so a low-power library could be built to meet that requirement. “The requirements are very well known, can be well defined ahead of time, and can serve the large market in general. So you can still do off-the-shelf components for IoT design.”

Additionally, Jeff Miller, product marketing manager at Mentor Graphics, pushes back the idea of IoT hardware being off-the-shelf primarily because of the unit volumes, as well as the constraints that are put on them in terms of power consumption, physical size, and battery life.

“These are forces that push you away from off-the-shelf, and toward more customized solutions. Interestingly, this is not a contradiction with the idea of them being software defined,” Miller stressed. “We’re seeing a trend toward the idea of a custom SoC. There is a set of functionality that differentiates the IoT edge device, and the ability to configure that device in the field is often needed. Or it’s the ability to change that functionality as the product matures and gains more functionality through software. As a result, engineering teams are taking IP for microprocessor cores of some sort, and adding that to their sensor or their MEMS device, and making these custom SoCs that are very much specific to a given device or application area. At the same time, they still have that software capability because it gives incredible flexibility, and makes it easier to respond to markets while still having differentiated functionality.”

More of this processor IP is being brought into these custom designs in order to make these IoT edge devices, Miller continued. “There are tremendous time-to-market pressures on these products, so if you can cobble together version 1 of your product from off-the-shelf components, that’s sometimes a viable strategy. Then, when it’s time for revision 2, you want to get your bill of materials down, and all of those performance metrics can be reduced. There is a role for off-the-shelf, but that’s going to be the initial versions and prototypes. Anything that hits its stride at IoT scale is going to require some custom.”

FinFETs and custom design
FinFETs have a role in changing the requirements for custom design, too.

“The main thing about the finFET, especially if looking at analog design, is that you’re limited to discrete widths that are units of fins,” said Dave Reed, director of product marketing for custom design at Synopsys. “If I’m tweaking analog, I care about my width/length of the transistor, so now all of my width is in fixed units of the fin. Because of the process restrictions, you also have a fixed number of lengths you can work with. So to build the exact characteristics I want, I end up turning things into arrays of things that are in series and in parallel combinations. I take my transistor, I decompose it into fins, and then I arrange them in series and in parallel structures to get the width/length I want. As a result, people are dealing with these device arrays now, and there are a whole lot more units to place and connect. On the design side, you have to change your behavior, as well.”

Related to finFET, there are more and more concerns about electromigration in custom design at smaller process nodes, he said, with more concerns about being very early with resistance and capacitance measurements. As a result, for custom design, analysis tools have been pulled up into the layout so it is not necessary to wait for signify, i.e., engineers can analyze the capacitance, the resistance, and electromigration while doing layout.

ARM expects a proliferation of custom silicon over the next five years. “There is already an explosion of smart devices,” Burr said. “We have seen this from an uptick of the ARM DesignStart program, which enables custom designs.”

Much of this is being driven by IoT type applications, where processing is added to an existing product to make it smart, or where entirely new products are enabled.

eSilicon’s Subramaniam believes there will always be people who want to differentiate from others, and the way to do it is to build custom RTL. “You’re going to try and use as many standard components as possible, so there are going to be standard building blocks. For example, in IoT, there may be specific interfaces that are all standardized, but the core intelligence in the design which is unique to you. That’s going to be a custom piece of RTL. There’s always going to be a need for some customization in every product because that’s where you can make the differentiation. That’s not going away.”

Conclusion
In fact, the only thing that really has changed is the perception that because custom silicon is more expensive or difficult to develop, it’s a bad thing.

“People would brag about their custom circuitry and how fast it ran or how accurate it was,” said Mike Gianfagna, eSilicon’s vice president of marketing. “Now it’s like custom design is to be feared. It’s like a liability because digital is so compressed and it’s so difficult to do it at the advanced geometries. The transistors are not that well behaved anymore.”

But the reality is that mistakes can be very expensive. If mask sets are $10 million or more, everything must be proven well enough before production. That has sharply limited the number of full custom designs. Nevertheless, custom design can’t be completely eliminated because then nothing is left on the table for differentiation. It’s a fine balance, Gianfagna concluded.

Related Stories
Cars, Security, And HW-SW Co-Design (Part 1)
Hardware and software must be developed at the same time these days to shorten the time-to-market for advanced devices and electronics.
Embedded FPGAs Going Mainstream?
Programmable devices are being adopted in more market segments, but they still haven’t been included in major SoCs. That could change.



Leave a Reply


(Note: This name will be displayed publicly)