Eco-Friendly Strategy

Building the ecosystem for metal fill at 20nm requires three main players.

popularity

By Jeff Wilson
If you want a winning fill solution at 20nm, you need a robust ecosystem in place with three main players. Each player has a specific role and, particularly as the new technology is defined, the players need to work in close partnership. Why is the ecosystem so important at 20nm? Because of the technological challenges, including process variability and design complexity.

The new DRC and DFM requirements for 20nm are aggressive—more numerous and complex than ever. The 20nm design rules demand fill shapes in greater numbers and require them to be evenly distributed. In addition, there is the complexity of multi-layers fill shapes that need to be optimized based on constraints such as density and perimeter. This all leads to a greater variety of fill shapes. Even the single layer shapes have greater complexity, with double patterning adding a new dimension to fill. For drawn layers, double patterning improves printability. For fill layers, double patterning (figure 1) reduces manufacturing variability by balancing the light emitted through the mask and moderating the impact of etch on the design. The task at hand is to balance the growing fill requirements while also controlling turn-around-time of the fill process.

 

Figure 1. Double pattern has extended to fill shapes. The goal is to improve process variability effects.

Figure 1. Double pattern has extended to fill shapes. The goal is to improve process variability effects.

To solve these challenges, you need a team effort from the foundry, EDA vendor, and customer. I’ll explain the role of each player in the ecosystem.

The Foundry and the EDA Vendor
Interactions between the EDA vendor and foundry start early in the process development cycle. Mentor is the de facto standard and has long-standing collaborations with all major foundries, so that new process rules and tool development happen in lock-step. The foundry creates the rules, honing them to address the limitations of the process. The rules are written into rule decks in the industry-standard SVRF format. The new technology requires the foundry to worry about novel effects that have never before been cause for concern, and they need to partner with EDA vendors in order to help define and understand what the fill tools are capable of doing. This collaboration has two direct benefits: 1) rules can be specified in such a way that make the checks possible, and 2) when new functionality is needed, such as double patterning, this information needs to get to the EDA vendors early in the process so that they can provide a solution. Being the golden solution makes a significant difference in that relationship.

During the yield ramp period, it is very important that new rules can be addressed, but it is also important to make the decks sustainable. This includes being able to easily update the decks when the structure of the fill shapes or spacing changes occur. It has become clear that at 20nm, the fill solution must raise the level of abstraction above the polygon level. The complexity of the fill shapes calls for a ‘cell-based’ fill solution that helps manage the complexity of multiple layers and the sheer number of shapes added. This ability to place fill cells rather than individual polygons significantly speeds up deck development and reduces runtime and output file size.

The EDA vendor, at this early point, starts developing the tools and technology to implement the process requirements. With foundry input, Mentor developed new core algorithms for fill at 20nm that make the fill process easier, including adding commands that raise the level of abstraction for the filling operations, and adding keywords for basic operation such as double patterning and forbidden pitch checking.

Another task for the EDA vendor is to ensure fast and accurate fill. The time required for fill is largely driven by the sheer number of fill shapes being added to the design. To reduce the run time, concurrent analysis and fill is needed. The Mentor analysis tools are built on the Calibre platform so that fill and analysis are done with the same signoff engine. This allows for a single-pass, correct-by-construction fill process.

The partnership between the foundry and the EDA vendor is absolutely essential for getting the 20nm technology in place and ready to roll out to customers. Mentor bridges the gap between the foundry and the customer by maintaining close relationships at the foundry and by using the same core engine for fill, as well as the golden signoff checker that the foundries uses.

The Third Leg of the Fill Ecosystem: Designers
Successful fill at 20nm also depends on using real design data to validate the work the EDA vendor and foundry have done. Once the process rules are in place and validated, the designers assume responsibility for fill in partnership with the EDA vendor. The fill solution must provide some key features: push-button, correct-by-construction flow, predictable and reasonable run time, smaller file sizes, accuracy, and flexibility to fit within any physical implementation flow.

The correct-by-construction requirement is met by using the same engine for both fill and for analysis. Run-time is further addressed with the cell-based fill technology developed to achieve the demands of the latest technology nodes. Calibre interfaces with all leading design environments and enables feed-forward and back-annotation flows. The feed-forward capability allows the filling engine to make informed fill placement decisions (based on both the type of signals and which signals are timing-critical), while the back-annotation enables customers to verify their design with fill in their signoff timing flow.

Figure 2. The Calibre Yield Enhancer product with SmartFill can be accessed from within the major place and route tools, including IC Compiler, Olympus-SoC, and Encounter.

Figure 2. The Calibre Yield Enhancer product with SmartFill can be accessed from within the major place and route tools, including IC Compiler, Olympus-SoC, and Encounter.

 

The designers fill solution must work with the industry-leading design tools, including place and route tools as well as full custom environments (figure 2). This is important because of the revolving door that exists in the place and route world. Calibre has been the de facto standard for over 10 years, but there have been at least four market-leading place and route products in the same time span.

Summary
The 20nm fill requirements from the foundry go far beyond simply adding more polygons; fill is now done with a cell-based approach that supports multi-layer fill, complicated spacing rules, and automated double patterning. These challenges require a joint effort built on trust and experience. Mentor partners with all major foundries to make a fill solution for 20nm and beyond that not only meets the new stringent manufacturing requirements and design constraints, but is easier to use, has smaller file sizes, faster runtimes, and integrates into multiple design flows.

—Jeff Wilson is a DFM product marketing manager in the Mentor Graphics Design to Silicon division.



Leave a Reply


(Note: This name will be displayed publicly)