Home
TECHNICAL PAPERS

Flexible Microprocessors (FlexiCores)- Natively flexible 4-bit and 8-bit microprocessors optimized for low footprint and yield

popularity

New research paper titled “FlexiCores: low footprint, high yield, field reprogrammable flexible microprocessors” from researchers at University of Illinois and PragmatIC Semiconductor.

Abstract
“Flexible electronics is a promising approach to target applications whose computational needs are not met by traditional silicon-based electronics due to their conformality, thinness, or cost requirements. A microprocessor is a critical component for many such applications; however, it is unclear whether it is feasible to build flexible processors at scale (i.e., at high yield), since very few flexible microprocessors have been reported and no yield data or data from multiple chips has been reported. Also, prior manufactured flexible systems were not field-reprogrammable and were evaluated either on a simple set of test vectors or a single program. A working flexible microprocessor chip supporting complex or multiple applications has not been demonstrated. Finally, no prior work performs a design space of flexible microprocessors to optimize area, code size, and energy of such microprocessors.

In this work, we fabricate and test hundreds of FlexiCores – flexible 0.8 μm IGZO TFT-based field-reprogrammable 4 and 8-bit microprocessor chips optimized for low footprint and yield. We show that these gate count-optimized processors can have high yield (4-bit FlexiCores have 81% yield – sufficient to enable sub-cent cost if produced at volume). We evaluate these chips over a suite of representative kernels – the kernels take 4.28 ms to 12.9 ms and 21.0 μJ to 61.4 μJ for execution (at 360 nJ per instruction). We also present the first characterization of process variation for a flexible processor – we observe significant process variation (relative standard deviation of 15.3% and 21.5% in terms of current draw of 4-bit and 8-bit FlexiCore chips respectively). Finally, we perform a design space exploration and identify design points much better than FlexiCores – the new cores consume only 45–56% the energy of the base design, and have code size less than 30% of the base design, with an area overhead of 9–37%.”

Find the open access technical paper here. Published June 2022.

Nathaniel Bleier, Calvin Lee, Francisco Rodriguez, Antony Sou, Scott White, and Rakesh Kumar. 2022. FlexiCores: Low Footprint, High Yield, Field Reprogrammable Flexible Microprocessors. In The 49th Annual International Symposium on Computer Architecture (ISCA ’22), June 18–22, 2022, New York, NY, USA. ACM, New York, NY, USA, 16 pages. https://doi.org/10.1145/3470496.3527410.

Visit Semiconductor Engineering’s Technical Paper library here and discover many more chip industry academic papers.



Leave a Reply


(Note: This name will be displayed publicly)