Improving Uniformity And Linearity For All Masks

Pixel-level dose correction improves the quality of masks written by multi-beam.

popularity

When it comes to mask quality, there are two vital measurements: uniformity and linearity. Uniformity measures the consistency of the size of mask features in all occurrences across the entire mask, because different instances of the same target size can vary in size due to manufacturing variation. Linearity measures how well different sizes of shapes on the manufactured mask match their target, averaged over multiple instances of the same shape in the same environment.

Mask process correction (MPC) solutions correct the shapes output by optical proximity correction (OPC) or inverse lithography technology (ILT), so that what OPC/ILT assumed would be the manufactured mask actually is what ends up being manufactured, countering distortions that occur during the mask manufacturing process. Currently, MPC solutions include solutions for linearity, but not for uniformity, leaving the door open for mask variation that leads to wafer variation. Reducing mask variation is the most cost-effective way to reduce wafer variation.

A relatively new technology, pixel-level dose correction (PLDC) solves for both uniformity and linearity. PLDC is a computational operation that is performed with multi-beam mask writing, and can be performed either offline, or inline. Inline PLDC results in no additional turnaround time (TAT). As more and more masks are written with multi-beam, PLDC offers significant mask quality improvement to a large and growing percentage of masks.

Because PLDC is performed in the pixel domain, taking advantage of GPU acceleration, the same uniformity and linearity benefits of PLDC can benefit any shape – including Manhattan, diagonal, or curvilinear shapes – with the same accuracy and computational runtime. With multi-beam mask writing dominating the leading edge since the start of this decade, the mask world is rapidly moving to curvilinear mask features. However, many masks are still manufactured with conventional axis-parallel rectilinear shapes output by OPC, or Manhattanized shapes produced by ILT. Manhattanized ILT shapes as well as conventional OPC shapes reap both uniformity benefits and linearity benefits from PLDC.

PLDC uniformity improvement

PLDC determines the pixel dose needed for each pixel written by the multi-beam mask writer to perform all MPC functions, to produce as closely as possible on mask the target shapes output by OPC/ILT.

PLDC enables dose enhancement of pixels on/near contour edges to improve dose margin. Steeper dose slope – better dose margin (DM) – is known to be a good proxy for resilience to most types of mask manufacturing variation.

Figure 1 shows a cross-section of a dose map with and without PLDC edge dose enhancement.

Fig. 1: PLDC edge-dose enhancement improves dose slope, resulting in better dose margin and increased resilience to manufacturing variation.

In this figure, the green line shows the dose slope with conventional OPC/ILT output. The blue line shows the slope with PLDC edge dose enhancement. PLDC increases edge dose to make dose slope steeper at the pattern edge, decreasing interior dose (if the feature is wide enough) further improves dose slope and decreases backscatter, which reduces proximity effect correction (PEC).

PLDC combines these numerous corrections together to avoid one correction rendering another correction inaccurate. Both local critical dimension uniformity (LCDU) and global critical dimension uniformity (GCDU) benefits are achieved through these pixel-dose manipulations.

PLDC linearity improvement

Generally, linearity correction problems on masks come from two main sources of error in mask manufacturing: dose-based effects and variable-etch-bias-based effects.

Dose-based effects for mask writers come from various sources of short-range blur including beam blur, pixelization blur, and resist blur. Production mask making needs to tradeoff the time it takes to write the mask against the blur radius. The time it takes to write the mask is linearly correlated with the time it takes to expose the resist. A smaller blur radius would have higher resolution but would take longer to write. For any given mask process of record under development, there is a minimum feature size specified that the mask making process must resolve reliably. Generally speaking, because every product mask process of record wants to print masks as quickly as possible while still resolving the smallest required feature, the smaller features end up printing even smaller than target. This “linearity drop-off” should be corrected by MPC, so that the mask shop can print all shapes down to the minimum feature size and have the manufactured mask shapes meet the target shapes on average as closely as possible.

After resist is exposed, and dose-based effects have taken place, other mask making steps occur. After some of those steps, some areas of the mask are protected from etching, while other areas are left open to etching that “drills” down into the material under the resist a certain known distance. Even though this etching process is sophisticated and accurate in drilling down much more than drilling to the side, a certain amount of etching to the side is inevitable, which effectively increases the open area. The linearity issue from variable-etch bias occurs because the amount of that sideways etching is not identical everywhere.

For all leading-edge mask making processes that are written by multi-beam mask writers, the dose-based effects and the variable-etch-bias-based effects both contribute significantly – and differently – to the linearity problem. Neither model alone is sufficient to correct for the linearity issue, as illustrated by the conceptual graphs in figure 2, which uses an example process. Different processes have different linearity curves, but all processes will see similar changes from uncorrected masks having worst linearity, dose-effect correction seeing an improved linearity, but still not as good as linearity correction that has both dose-effect and variable-etch-bias-effect corrections.

Fig. 2: A conceptual illustration of how corrections for both dose-based effects and variable-etch-based effects are needed to correct fully for the linearity issue. The X axis on the plots have the left side being smaller features. The “0” indicates edge placement error of 0, which means the desired size of the shape was achieved on the physical mask. When the curve goes lower, the actual mask shape is smaller than the desired shape. The blue, red and orange curves indicate the linearity curves for different pattern densities across the mask.

An upcoming publication at SPIE Advanced Lithography 2025 and at PhotoMask Japan 2025 by Micron Technology will discuss linearity correction results from PLDC.

PLDC has already been used successfully in production

Tekscend Photomask recently presented at BACUS SPIE Photomask Technology 2024 their results with PLDC being used in production on the NuFlare MBM-2000PLUS multi-beam mask writer (ref: Mayuko Matsumoto, Naoki Yoshida, Tetsunori Hirata, Makoto Motegi, Kiyoshi Kageyama, Mitsuharu Yamana, Wataru Kunishima, Ryo Iikubo, “Mask performance improvement by pixel level dose correction,” Proc. SPIE 13216, Photomask Technology 2024, 132160H (12 November 2024)). The conclusion was that PLDC improved line-end resolution (LER) and LCDU, particularly in isolated line and isolated space densities, as shown in figure 3, below.

Fig. 3: Results from Tekscend showing PLDC improving both LER and LCDU.

PLDC offers benefits now, and into the (curvilinear) future

All masks written by multi-beam today – including those with purely Manhattan mask features, those with Manhattanized ILT features, and those with curvilinear mask features – benefit from the improved uniformity and linearity offered by PLDC. As the mask world rapidly moves to include more curvilinear mask shapes, PLDC is the only computationally viable solution for MPC. Our next blog will focus on curvilinear masks and how PLDC enables them to be produced in a practical timeframe.



Leave a Reply


(Note: This name will be displayed publicly)