Supersizing Wafers

The move to 450mm wafers is going to happen, but it also is going to create enormous problems for makers of tools, equipment and the foundries.

popularity

By Katherine Derbyshire
Get ready for 450mm wafers. First seriously considered in 2005, these supersized wafers, along with the equipment to handle, measure, and process them, have gradually made their way to the top of the semiconductor industry’s priority list.

But what exactly does that mean? What will 450mm fabs look like, and how will they differ from the 300-mm fabs being built today?

At first glance, according to Paul Farrar, general managerf of the G450C consortium, not much will change. 300mm fabs brought a major transition, from manual transport of wafer cassettes to automated transport of fully enclosed pods. The twin demands of heavier wafers and a cleaner process environment apply even more strongly now than they did then, and so the basic automation and handling model is unlikely to change.

On the other hand, bigger is still bigger. 450mm wafers will, according to Olaf Kievit of the Dutch research organization TNO, weigh 2.7 times as much as 300mm wafers, with just 1.2 times the thickness. They will be less rigid and more prone to sagging under their own weight. Wafer transport arms will need to move heavier weights over longer distances—and faster. Wafer transport pods will be bigger and heavier, both loaded and empty.

May Su of Crossing Automation, which is now part of Brooks, estimates that fully loaded 450mm transport pods will weigh more than 20 kgs. The potential need for vacuum-sealed or controlled-atmosphere pods for sensitive processes is unlikely to reduce overall weight.

The cumulative effect will be that all wafer transport components in 450mm fabs will need to be beefed up relative to their 300mm counterparts. Transport motors will need to be larger and stronger, robot arms will need to be more rigid, and so forth. Though the end result may resemble a scaled-up 300mm fab, most components are likely to require some degree of re-engineering.

This is especially true of individual wafer transport arms and end effectors. Edge gripping of wafers causes chips and other damage to the layers near the edge. This damage is believed to contribute to yield loss, especially in the near-edge region, and to be a source of particles generally. The emerging 450mm consensus depends instead on backside support and gripping, with only non-gripping constraint points allowed near the edge. This approach puts a new emphasis on control of vibrations in the transport arm, lest a loosely held wafer “bounces” out of position. Reduced vibrations mean heavier, more rigid transport arms. Backside handling may also be expected to increase backside particles. These are especially relevant to lithography, where they create bumps in the wafer surface and push affected regions out of the focal plane. With the depth of focus budget already very small for deep sub-wavelength lithography, backside particles pose a potentially serious yield hazard.

The sheer bigness of 450mm wafer fabs extends to every aspect of facility design— larger vacuum chambers require more pumping capacity, more power, and more cooling capacity. AMHS systems used to transport larger wafer pods will need longer tracks and larger turning radii. All of these factors will increase the overall footprint of the fab. Peter Csatáry of M+W Group estimates that a 450mm fab will require 30% more gross manufacturing area, with some tools consuming more than 50% more water or electricity. (See Table.) Facilities costs, as well as demands for “sustainable” operations from local governing authorities, will force fabs and equipment designers to consider conservation from the earliest design stages. The good news is that the same factors that multiply resource consumption also multiply savings. Any reduction in equipment power consumption will produce an additional 20% reduction in chillers and other fab infrastructure, Csatáry said.

Table: Impact of 450 mm wafers on fab facilities


Source: M+W Group, Semicon Europa 2012

While larger process chambers and larger transfer arms will be needed, most process equipment can expect to see economic benefits from the larger wafer size. If a single wafer takes approximately the same amount of time to process, but contains more than twice the device area, then the per-device cost should go down. That’s why the industry is planning the transition in the first place.

Lithographers, however, face an additional challenge. If the number of exposure fields goes up, and the time to expose each field stays the same or goes up, then overall throughput goes down and cost per die goes up. Increasing throughput requires some combination of more input power—a significant challenge for EUV lithography in particular—and faster wafer transport, alignment, and stage positioning. More rapid stage acceleration and deceleration make accurate stage placement more challenging. Nor does it help that the first 450mm wafers will probably be targeted to the 10nm device technology node, likely the first one to use EUV lithography for critical layers.

Most current predictions are that lithography tools will be the last sector to be ready for 450mm production, by a wide margin of as much as a year or two. Substantial investments in lithography leader ASML by Intel, Samsung, and TSMC were intended to help accelerate tool development, but it remains to be seen how feasible rapid development is. Volume production cannot begin without lithography. In the meantime, makers of other tools are in the uncomfortable position of facing unpredictable delays before they can begin to recover their own investments.

Update: An earlier version of this article misspelled May Su’s first name.



Leave a Reply


(Note: This name will be displayed publicly)