Unsolved Issues In Next-Gen Photomasks


Experts at the Table: Semiconductor Engineering sat down to discuss optical and EUV photomasks issues, as well as the challenges facing the mask business, with Naoya Hayashi, research fellow at DNP; Peter Buck, director of MPC & mask defect management at Siemens Digital Industries Software; Bryan Kasprowicz, senior director of technical strategy at Hoya; and Aki Fujimura, CEO of D2S. What f... » read more

Perspectives On Why EUV Photomasks Are More Expensive


There are fewer photomasks per wafer using EUV lithography, but each EUV photomask is more expensive. Given that, it’s not a surprise that a majority (74%) of industry luminaries surveyed in July say that EUV photomasks will contribute to an increase in photomask revenues for 2021 as shown in figure 1. In a 20-minute video, a panel of experts share their perspectives on what drives EUV photom... » read more

Business, Technology Challenges Increase For Photomasks


Experts at the Table: Semiconductor Engineering sat down to discuss optical and EUV photomasks issues, as well as the challenges facing the mask business, with Naoya Hayashi, research fellow at DNP; Peter Buck, director of MPC & mask defect management at Siemens Digital Industries Software; Bryan Kasprowicz, senior director of technical strategy at Hoya; and Aki Fujimura, CEO of D2S. What f... » read more

Pushing the limits of EUV mask repair: addressing sub-10 nm defects with the next generation e-beam-based mask repair tool


Abstract "Mask repair is an essential step in the manufacturing process of extreme ultraviolet (EUV) masks. Its key challenge is to continuously improve resolution and control to enable the repair of the ever-shrinking feature sizes on mask along the EUV roadmap. The state-of-the-art mask repair method is gas-assisted electron-beam (e-beam) lithography also referred to as focused electron-beam... » read more

Gearing Up For High-NA EUV


The semiconductor industry is moving full speed ahead to develop high-NA EUV, but bringing up this next generation lithography system and the associated infrastructure remains a monumental and expensive task. ASML has been developing its high-numerical aperture (high-NA) EUV lithography line for some time. Basically, high-NA EUV scanners are the follow-on to today’s EUV lithography systems... » read more

Optimizing VSB Shot Count For Curvilinear Masks


The increased photomask write time using a variable-shape e-beam (VSB) writer has been a barrier to the adoption of inverse lithography technology (ILT) beyond the limited usage for hot spots. The second installment of this video blog looked at the challenge in depth. In this five-minute panel video with industry luminaries, Ezequiel Russell describes the collaborative study between his company... » read more

Stacked Nanosheets And Forksheet FETs


What comes next after gate-all-around FETs is still being worked out, but it likely will involve some version of stacked nanosheets. The design of advanced transistors is a tradeoff. On one hand, it takes less gate capacitance to control a thin channel. On the other hand, thin channels can’t carry as much drive current. Stacked nanosheet designs seek to reconcile these two objectives by... » read more

Survey: 2020 eBeam Initiative Annual Survey Results


Aki Fujimura, the CEO of D2S, Inc. presented "The eBeam Initiative's Annual Survey Results at Photomask" at Photomask Japan 2021 in April 2021. Survey says that COVID has a net neutral business impact on total mask revenues. By 2021, 24% positive vs 20% negative COVID-related business predictions. 74% agree actinic inspection for EUV HVM by 2023, and more results. Click here to read more. » read more

Developing A New Curvilinear Data Format


The data size generated by curvilinear masks could impact turnaround time (TAT) for photomask production and hence the adoption of curvilinear masks. In a previous blog on curvilinear masks, our panel of luminaries discuss some possible solutions in a video discussion. In this seventh video, the panel looks at some ideas to define a new curvilinear data format to reduce file sizes. Aki Fujimura... » read more

How Will The Adoption Of Curvilinear Masks Affect Turnaround Time?


Turnaround time (TAT) for photomask makers has historically increased at smaller and smaller process nodes, as reported in the eBeam Initiative Mask Makers surveys, so it’s important to look at the impact of curvilinear masks on TAT. In this sixth installment of our blog series on curvilinear masks, Aki Fujimura of D2S explores this question with luminaries in the industry during a video pane... » read more

← Older posts Newer posts →