Is The Photomask Ecosystem Ready For Curvilinear ILT?


The time it takes to write a photomask with curvilinear shapes was a major historical barrier to adoption inverse lithography technology (ILT), as discussed in the second installment of our blog series on curvilinear mask shapes. After years of development, multi-beam mask writers came into production and one of their features is the ability to write curvilinear masks without a write time penal... » read more

How Extensively Will Curvilinear ILT Be Used For EUV Photomasks?


Curvilinear shapes on photomasks lead to improved process windows, as the first installment of this blog series discussed. Our blog series continues with a video panel discussion of the benefits that curvilinear shapes have for EUV photomasks (masks) and whether curvilinear shapes will be used beyond today’s usage for hotspots. Our panellists approached the question of curvilinear ILT for ... » read more

The Quest For Curvilinear Photomasks


The semiconductor industry is making noticeable progress on the development of advanced curvilinear photomasks, a technology that has broad implications for chip designs at the most advanced nodes and the ability to manufacture those chips faster and cheaper. The question now is when will this technology move beyond its niche-oriented status and ramp up into high-volume manufacturing. For ye... » read more

How Do Multi-Beam Mask Writers Enable Curvilinear Shapes On Photomasks?


Multi-beam mask writing was identified as one of the ways to eliminate hurdles to manufacturing curvilinear mask shapes in the last installment of this blog. Our blog series continues with an educational video explanation of why and how multi-beam writers reduce write time for curvilinear mask shapes that took place during an eBeam Initiative panel discussion with industry experts during the 20... » read more

Changing The Rules For Chip Scaling


Aki Fujimura, CEO of D2S, talks with Semiconductor Engineering about the incessant drive for chip density, how to improve that density through other means than just scaling, and why this is so important for the chip industry. » read more

AI And High-NA EUV At 3/2/1nm


Semiconductor Engineering sat down to discuss lithography and photomask issues with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Harry Levinson, principal at HJL Lithography; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation. To vie... » read more

EUV Challenges And Unknowns At 3nm and Below


The chip industry is preparing for the next phase of extreme ultraviolet (EUV) lithography at 3nm and beyond, but the challenges and unknowns continue to pile up. In R&D, vendors are working on an assortment of new EUV technologies, such as scanners, resists, and masks. These will be necessary to reach future process nodes, but they are more complex and expensive than the current EUV pro... » read more

What’s Next In AI, Chips And Masks


Aki Fujimura, chief executive of D2S, sat down with Semiconductor Engineering to talk about AI and Moore’s Law, lithography, and photomask technologies. What follows are excerpts of that conversation. SE: In the eBeam Initiative’s recent Luminary Survey, the participants had some interesting observations about the outlook for the photomask market. What were those observations? Fujimur... » read more

Designs Beyond The Reticle Limit


Designs continue to grow in size and complexity, but today they are reaching both physical and economic challenges. These challenges are causing a reversal of the integration trend that has provided much of the performance and power gains over the past couple of decades. The industry, far from giving up, is exploring new ways to enable designs to go beyond the reticle size, which is around 8... » read more

eBeam Initiative Surveys Report Upbeat Photomask Market Outlook


Every year, the eBeam Initiative conducts surveys that provide valuable insight into the key trends that are shaping the semiconductor industry. This year, industry luminaries representing 42 companies from across the semiconductor ecosystem participated in the 2020 eBeam Initiative Luminaries survey. 89% of respondents to the survey predict that photomask (mask) revenues in 2020 will stay the ... » read more

← Older posts Newer posts →