Is The Photomask Ecosystem Ready For Curvilinear ILT?

Some gaps still need to be addressed, but experts are optimistic.

popularity

The time it takes to write a photomask with curvilinear shapes was a major historical barrier to adoption inverse lithography technology (ILT), as discussed in the second installment of our blog series on curvilinear mask shapes. After years of development, multi-beam mask writers came into production and one of their features is the ability to write curvilinear masks without a write time penalty. An overview of how they are designed for constant write times no matter how complex the mask shapes, including curvilinear shapes, was covered in our third installment. Are there any other barriers in the ecosystem? Our blog series continues in a video panel discussion on the readiness of the mask ecosystem to handle curvilinear shapes.

Our panellists agreed that there were no “showstoppers” and Noriaki Nakayamada from NuFlare Technology highlighted that this has been the view for the last five years. Ezequiel Russell from Micron Technology pointed to some gaps he would like to see addressed such as a common file format for curvilinear shapes. Danping Peng from TSMC noted that die-to-database mask inspection and review have historically been modelled on Manhattan shapes, but shouldn’t be hard to do on curvilinear shapes. To hear the complete discussion of this important point, please watch this five-minute video excerpt from the virtual eBeam Initiative panel (figure 1).


Fig. 1: Discussion on the readiness of the mask ecosystem for using curvilinear shapes during the 2021 eBeam Initiative panel at SPIE Advanced Lithography.

Every year, the eBeam Initiative conducts surveys on the key trends that are shaping the semiconductor industry. Last July, industry luminaries representing 42 companies from across the semiconductor ecosystem participated in the 2020 eBeam Initiative Luminaries survey. An overwhelming majority of the survey respondents think that curvilinear shapes will be used on masks by 2023, at least partially.

To help augment the survey results with in-depth insights, we will continue to bring you the viewpoints from experts at TSMC, NuFlare Technology, Micron Technology, and D2S as we explore questions about the challenges of curvilinear shapes on photomasks and how the industry is working on solutions such as curvilinear data formats. Our final blog will look at the potential to change not only manufacturing but also the design of semiconductor chips using curvilinear shapes. If you can’t wait, you can watch the full 90-minute panel event here.



Leave a Reply


(Note: This name will be displayed publicly)