Masks, Models And Alternative Lithography

A collection of videos and the people behind them.

popularity

Every February an outstanding group of eBeam luminaries gathers at events hosted by the eBeam Initiative during the SPIE Advanced Lithography conference. It was our 10th annual lunch with standing room only attendance again this year. It’s an honor to get to know some of these very talented people. We started producing videos for the community over five years ago to share more of their stories throughout the year. So let me tell you a little more about four of these “eBeamers” and what was on their mind when we made the corresponding videos.


Pictured left to right: Sergey Babin, Hiroshi Matsumoto, Aki Fujimura

Aki Fujimura co-founded the eBeam Initiative in 2009. In the past decade, Aki has become known throughout the mask and lithography fields for innovations relating to eBeam solutions such as the implementation of overlapping shots in mask data preparation (MDP). Currently, D2S is focused on providing the most accurate mask model for both EUV and multi-beam mask writing, utilizing GPU acceleration for all of their solutions. Aki talks about what he found interesting and top of mind at SPIE 2018 in this video, which is also in Japanese, and with the help of Leo Pang, chief product officer of D2S, in Chinese.

One of the major advancements in mask making is taking place right now with the introduction of the next generation of mask writers known as multi-beam. The market leader in eBeam mask writing is NuFlare Technology. NuFlare is an active member of the eBeam Initiative. In 2016, they used the annual eBeam Initiative lunch at SPIE to announce their multi-beam machine, the MBM-1000. In an update video to his introduction of the technology from two years ago, Hiroshi Matsumoto talks about further innovations to the MBM-1000 including inline pixel level dose correction (PLDC) using GPU acceleration.

Accurate models are critical to all phases of semiconductor manufacturing. Sergey Babin is well known in the industry for the development of software to model and optimize semiconductor processing and equipment. He founded aBeam Technologies in 2003 to focus on solutions in this space. aBeam Technologies is a member of the eBeam Initiative and Sergey came to this year’s annual eBeam Initiative lunch at SPIE to update us on what the future holds for CD-SEM. His team has worked on a new approach to SEM modeling and automation with the results being accuracy and predictability without depending on CD-SEM recipes. Sergey gives a comprehensive overview in this video.


Pictured left to right: Jan Willis and Laurent Pain

Maskless lithography has been a topic for many years now with Leti establishing itself as one of the proponents and a center of expertise. One of the experts is “eBeamer” Laurent Pain who helped make Leti a founding member of the eBeam Initiative and it’s great to have him update us on Leti and Grenoble. Leti celebrated 50 years as a research institute recently and continues to foster innovation in alternative lithography approaches. For the first time this year, they held a workshop during SPIE dedicated to alternative lithography. In this video, Laurent highlights the workshop topics, key programs they are supporting and how to get in touch with Leti if you’re interested in participating.



Leave a Reply


(Note: This name will be displayed publicly)