A Mischievous Muse

Will MB-MDP save mask makers from the muse? Probably not.

popularity

By Marc David Levenson
Moorissa, the muse of high technology, enjoys playing practical jokes on the mask-makers, whose annual meeting was the week of Sept. 10 in Monterey. It started long ago…For example, no sooner had mask makers learned how to write precise 1X masks with fancy electron beams than the wafer printing industry went to reduction steppers, negating the advantages of all that precision. The result was the lost decade of the ’80s—called the “Mask-Makers Vacation”—which became a price war as companies competed to keep the expensive equipment in production. But eventually (14 Moore’s Law cycles later) the need for precision on 4X optical masks exceeded the limits of practical electron lithography.

It’s true that the electron beams can be focused to very tiny spots to expose very tiny mask features. The problem is that it takes forever. Electrons are repulsive little things and it takes a while to get enough of them to go into each tiny resist volume to trigger development. Also, they bounce off everything, including resist and substrate atoms (and each other), spreading out and exposing unwanted regions. That’s why no one actually uses Gaussian-beam electron lithography for high-volume wafer production.

The electron beam tools that write masks today use variably shaped rectangular shots of exposure at precisely defined locations. Conventionally, no two shots can overlap and there can be no gaps between the shots that compose a given feature. By using large and small rectangles (all with Manhattan orientation), any reasonably shaped circuit element can be drawn. It might take a lot of shots, though, and each one takes time. Converting a mask layout into directions for shooting those rectangles is called “fracturing” or mask data preparation (MDP). That takes time, too.

Another little joke is that the rectangles of electron exposure don’t quite give the proper etched mask shapes. The corners are rounded a bit; the dimensions a little off and so forth. So, mask makers have long applied simple rule-based process correction to tweak all the fractured rectangles into spec. And, of course, as dimensions shrank, those specs became tighter and tighter. When the chip dimensions became sub-wavelength, the Mask Error Enhancement Factor (MEEF) began magnifying the mask dimension variations in the wafer image. All the CDU advantages of using reduction steppers were lost – and then some!

Layouts began to include sub-resolution assist features (SRAFs), which were too small to print on-wafer, but improved the images that did appear, when the SRAFs were perfect. When they weren’t, well, the MEEF struck and Moorissa chuckled. As chip dimensions shrank, more and more SRAFs with more and more complicated shapes had to written with more and more shots, tying up expensive equipment longer and longer.

And then, in a master stroke, inverse lithography eliminated all semblance of regularity; the shape on the mask no longer resembled the design. Today, because of the limitations of 193nm lithography, getting a reasonably functioning chip structure requires mask features that look more like Rorschach ink blot tests than Manhattan rectangles. Moorissa’s latest joke is that even with rule-based mask process correction, the variable shaped beam mask writing tools cannot write the bizarre 20nm-or-so shapes accurately enough for good wafer performance, no matter how many shots or hours they try.

Enter the eBeam initiative
The fact that electron beams create the first physical patterns for all chips motivated the formation of a consortium to facilitate the advance of electron beam patterning: The eBeam Initiative. With 43 member companies and advisors from various niches in the ecosystem (including maskless and imprint lithography) it became largely an educational platform advocating Design for eBeam (DFeB).

In 2010, Aki Fujimura, Managing Director of the Initiative and CEO of D2S Inc., foresaw the discontinuity due to Moorissa’s 20nm trick. According to Fujimura, the SRAFs for 28nm generation chips would be 80nm (or so) on the mask, and conventional fracturing and exposure could not guarantee dimensional accuracy and uniformity—or reasonable write times. He advocated a DFeB roadmap for photomasks that would include allowing overlapping shots, different shapes, dose modulation on individual shots and model-based mask data preparation. The hope was that with fewer (overlapping) shots and more accurate process correction, writing time would decrease and mask quality increase.

Implementing so many interrelated changes would require cooperation across industry segments, but that was the role of the eBeam Initiative. The top technical problem was the massive CPU time needed for simulating eBeam exposure on a full mask in order to correct it. The Monte Carlo method (following random electron trajectories) would take the age of the universe to converge. The kernel-based approach developed for optical proximity correction appeared to be more promising, but covering a full mask would require an immensely powerful computer.

Two years later at this year’s meeting, D2S and Fujimura announced TrueMask MDP, claimed to be the first model-based mask data preparation system that can process a mask—full of data in less than 24 hours. The computational platform is a dedicated supercomputer with 400 TFLOPS of CPU + GPU power, 11 Tbytes of RAID storage, tuned specifically for the D2S TrueModel engine. That means the system can synthesize more than 80 billion shots per day, enough to do a 40mm x 40mm SOC chip with ~50shots/μm2 in 24 hours. TrueMask MDP also includes a proprietary test chip and automated model calibration engine, according to Fujimura.

The proof is in the performance, though. Byung-Gook Kim of Samsung presented the first TrueMask paper on processing a 14nm-generation ideal ILT-design memory contact layer. Overlapping shot synthesis took 18.5 hrs and produced a design with 52% fewer e-beam shots than “Manhattanized” ILT, speeding write time proportionately (24 hours, not 48!). The better contrast improved dose margin and mask quality. The 2D contour edge placement error was less than 1.0nm, with mean error of less than 0.03nm. Fujimura commented that the write time reduction for conventional Manhattan designs might be less: only 20% to 30%.

He also reported that one full-scale D2S Computational Design Platform was already in the field and he expected every major mask manufacturer would have to buy their own to preserve their secrets. At D2S, there was also a 100 TFLOPS prototype used for further development, which would soon include shot-by-shot dose modulation.

One criticism of ILT has been that the resulting curvilinear masks could not be inspected or repaired. That may no longer be true. Yalin Xiong, general manager of KLA-Tencor’s RAPID Division noted that their optical mask inspection solutions now can be used with the TrueMask output for die-to-database inspection. TrueMask MDP accepts OASIS or GDSII files as input and produces write-tool language output compatible with Nuflare EBM-7000 (and above) and JEOL JBX-3040 to -3200MV mask writing tools, installed at all leading mask-makers.

So, is the joke now on the muse Moorissa, rather than the mask makers? Probably not. The realm for future mischief has just shifted to computation and EUVL. By applying the results of 30 years of Moore’s law improvement to the electron beam patterning process, the eBeam Initiative may just have enabled Moore’s law to continue—in spite of Coulomb’s law and the negativity of all electrons.



Leave a Reply


(Note: This name will be displayed publicly)