Multi-Beam Begins To Shine

Single e-beam vendors shift their focus as older approach runs out of steam, but development costs remain high.

popularity

After years of R&D and promises, multi-beam electron-beam technology is delayed and late to the market. The technology requires more funding and work than previously thought. And generally, the skepticism is running high for the technology.

Finally, however, there is a ray of hope, and some momentum, in multi-beam—at least on the photomask front. Seeking to accelerate its multi-beam technology into the market, IMS Nanofabrication is teaming up with JEOL as part of an effort to co-develop mask-writing tools. And separately, NuFlare Technology is developing its own multi-beam mask-writing system.

The shift toward multi-beam represents a change in strategy for JEOL and NuFlare, the two main suppliers of traditional single-beam e-beams for photomask production. As mask complexity increases, the write times for traditional e-beams are becoming too slow and expensive. And realizing that e-beams could hit the wall at 10nm or 7nm, JEOL and NuFlare are scrambling to jump on the multi-beam bandwagon.  

Using thousands of individual beams, multi-beam technology promises to speed up the write times in mask production, thereby lowering overall manufacturing costs. “E-beam writer demand is becoming a big challenge for us,” said Pawitter Mangat, senior manager and deputy director for EUV lithography at GlobalFoundries, a silicon foundry that also operates a mask-making venture. “The writers are cranking day in and day out. But it’s also important for us to address the need for a faster multi-beam technology.”

Besides photomask production, the other application for multi-beam is direct-write lithography. The industry is optimistic about multi-beam mask writers, but less so for direct-write. Multi-beam, or maskless, is still a candidate on the next-generation lithography (NGL) roadmap, but the technology still has a lot to prove before it becomes viable for mainstream production. 

“We are focusing on multi-beam for mask writers and we are encouraged by the progress,” said Janice Golda, director of lithography capital equipment development at Intel, which also owns and operates its own captive mask shop. Asked if Intel is still interested in multi-beam for direct-write lithography, Golda said: “We are monitoring the technology.” 

Multi-beam or bust
According to a survey from Sematech, the biggest future concern among photomask makers is critical tool readiness. The biggest gap in tool readiness is the e-beam, namely multi-beam technology, according to the survey.  

Mask makers are begging for multi-beam, and for good reason. Today, chipmakers are extending 193nm wavelength lithography far beyond what was once considered possible. This, in turn, adds more and complex reticle enhancement techniques (RETs) to the mix. In fact, some 24.1% of all photomasks will require some form of “aggressive OPC” in 2013, compared to 23.9% in 2012, according to the survey. Aggressive OPC includes model-based technology or scattering bars.

The photomask is becoming more complex in other respects. The average number of masks in a mask-set is 25 at the 180nm node. In comparison, the average number of masks in a mask-set is 54 for reticles less than 32nm but greater than or equal to 22nm, according to the Sematech survey. And a mask-set is expected to have 71 masks for reticles less than 16nm but greater than or equal to 11nm, the survey said.

Today’s e-beams, which pattern the most advanced layers on a mask, are based on variable shape beam (VSB) technology. In VSB, two shaped apertures are used to form a triangular or rectangular beam. Write times—one of the key throughput metrics for e-beams—are heading in the wrong direction for VSB. In fact, the write times have increased by about 25% a year since 2011, according to the survey. In 2013, the maximum write time reported for a mask was 60 hours.

The goal among many chipmakers is to keep the write times for most reticles down to a day or so. “That’s within 10 to 24 hours,” said GlobalFoundries’ Mangat. “From the mask making side, you can’t compromise on the throughput.” 

GlobalFoundries and other foundries with internal mask operations face a number of challenges. “As the cost of the mask goes up, and the complexity goes up, the specifications are getting tighter,” he said. “This is a big red zone from a foundry perspective.”

The foundries make a multitude of chips for different vendors. Generally, each device requires a unique mask-set. In a relatively short period, mask makers can amortize the cost of a given mask-set for higher-volume chips, such as cell-phone chipsets and microprocessors. But generally, the vast majority of chips made by foundries are lower-volume devices. “A lot of the masks that we make don’t end up becoming high-volume wafers.  There are very few mask sets that are big runners,” he said. “That impacts our cost-of-ownership.”

Multiple patterning could further impact the cost-of-ownership. Typically, mask makers process a mask set using one e-beam. In double patterning, which involves two separate masks, photomask makers could write the critical layers in sequential steps using one e-beam tool, which is a slow and cumbersome process. In a more likely scenario, a mask maker would simultaneously utilize two e-beams to process each mask to speed up the process. That means a photomask vendor must procure more e-beams, thereby increasing their capital costs.

Multi-beam status
For some time, the main problem with e-beams has also been apparent–they are running out of steam. Current VSB tools are now producing 22nm masks, with 14nm and 10nm reticles in development. The general fear is the VSB technology will run out of gas at 7nm, prompting the need for a new technology. “We need multi-beam,” said Franklin Kalk, executive vice president and chief technology officer at Toppan Photomasks, one of the world’s largest merchant mask makers. “We need multi-beam capabilities to reduce write times.”

Multi-beam mask-writers are still not ready for prime time, but the momentum is growing for the technology. DNP, Intel, Photronics, TSMC, and now JEOL are part of a group that is backing IMS Nanofabrication. The group hopes to bring multi-beam tools into their respective mask shops as a means to reduce costs.

For some time, IMS Nanofabrication has been developing a multi-beam technology, based on 262,144 programmable beams with 20nm beam sizes. The 50-keV tool has demonstrated a half-pitch resolution of 24nm. The goal is to have an alpha tool in 2014, a beta system by 2015, and a high-volume mask writer by 2016. The write time for the production tool is expected to be less than 10 hours per mask.  

To help its cause, IMS is joining forces with JEOL to co-develop tools. IMS will provide its multi-beam technology, while JEOL will become the systems integrator.  The alliance makes sense for both parties. IMS is a smaller company with limited resources. JEOL is a larger entity that gives mask makers a certain level of confidence regarding IMS’ technology. “We want a complementary partner,” said Elmar Platzgummer, chief executive of IMS. “We also need a strong service partner.

Meanwhile, rival NuFlare, the leader in e-beams in terms of share, is also working on a multi-beam tool, said Noriaki Nakayamada, group manager for the Data Control Engineering Group at NuFlare. The company’s goal is to develop a multi-beam tool, which consists of roughly the same number of beams as the IMS system, he said. “(IMS) is ahead us,” he said. So, the company may take “intermediate steps” and develop tools with fewer beams, he added.

Still to be seen, however, is whether companies actually can bring multi-beam mask writers to the market. There are still a multitude of technical challenges, such as data rates, resolution and throughput. Cost, of course, is an issue. On the other hand, the clock is ticking for traditional VSB technology in mask production. “I don’t see VSB disappearing,” said IMS’ Platzgummer. “But once multi-beam is established at customers, they will reduce their usage of VSB to lower pattern densities.”

 

 

 

 



Leave a Reply


(Note: This name will be displayed publicly)