Place And Route Made Easier And Faster

A new place and route flow gives fast results out of the box and also allows for full flow customization.

popularity

By Allan Crone

A predictable trend in IC design is the ever-increasing size and complexity of designs while keeping the time allocated for the projects the same or shorter. Along with the tape-out pressure, organizations need to find cost savings everywhere possible. Lowering the overall cost of ownership of EDA tools is a viable way to manage the design budget. Consequently, design teams are always seeking innovative ways to perform the more time-consuming physical design tasks more efficiently in order to maintain turn-around-time while controlling costs.

Physical implementation tools are notoriously complicated and hard to use. For software that has to solve the complex calculations of multi-mode, multi-corner, advanced node place and route, higher usability typically means lower flexibility. Even experienced design teams can waste valuable time just getting the tools set up, the environment tuned just right, and the command settings perfected for their design. This was the challenge for the Mentor place and route team: create a flow that gives fast results out of the box but allows for easy customization and tuning to create the most optimized results. The goal was to simplify the user experience and add predictability and flexibility to the physical implementation process. The result is called the Nitro Reference Flow, and it was first released in 2018 for first customer usage.


Fig. 1. The Nitro Reference Flow simplifies the user experience.

The NRF is a knowledge-based flow designed to maximize productivity. It calls on a fully customizable and configurable chain of core engines to give users full control with less effort. The NRF is based on best practices from years of design closure knowledge to provide competitive quality of results right out of the box. For design teams who need ease of use, fast results, and need to reduce tool ownership costs, flows like the NRF can be an important element of their design process.

The NRF is set up with one command, and need to be run only once for any given version of the Nitro-SoC tool. As new features are developed and added to each release, the NRF scripts change. However, all the customizations done to previous NRF scripts by users are preserved in the new setup and not overwritten. All the work of customizing scripts only needs to be done once; those customizations are carried forward to all updated tool versions and NRF setups.

The structure of the NRF is very simple. It contains five main Tcl scripts, with the following functions:

  • Importing data
  • Standard cell and macro placement and optimization
  • Clock tree synthesis (CTS) and post-CTS optimization
  • Routing and post route optimization
  • Exporting data

In addition to the main scripts described above, there are three supporting Tcl files. One is used to specify the design libraries, technology file, parasitic extraction tech file, design modes and corners, and the use of a default or custom floorplan including the power/ground grid. The second file is used to describe the details of the flow, including timing and congestion effort, CTS specifications, clock buffer cells, clock routing rules, which corners to use for which step, hold buffer cells and low-power implementation.

The third supporting file is known as the NRF customization file and can be used for virtually unlimited customization of the flow. The NRF customization file has a section for each of the three implementation steps—placement, CTS, and routing.

Another mechanism for customization is event handlers. Each section in the NRF customization file has a generic event handler that allows for the interruption of the flow at specific stages so that custom scripts can be executed before continuing with the flow. In addition, a finer-grained event handler can be inserted into the NRF customization file, which will cause custom Tcl to be executed after any tool command. By using the customization features of NRF, the flow can essentially become a custom flow using a generic infrastructure, which has the effect of lowering the cost of ownership.

By using the customization features of NRF, the flow can become essentially a custom flow using a generic infrastructure, which has the effect of lowering the cost of ownership associated with the implementation tool.

Of course, an easy-to-use and highly customizable flow still depends on the ability of the underlying engines to produce good quality of results. Mentor’s place and route tool excels on ultra-low power designs, reducing usage for internal, switching, dynamic, and leakage while implementing a wide range of multi-VDD architecture. The tool finds optimal solutions for complex designs with its native multi-mode/multi-corner support throughout the flow. It also offers full integration with FormalPro LEC and Calibre InRoute for sign-off DRC fixing.

With the addition of the new NRF, Nitro-SoC can reduce design cycle times and power usage while maintaining QoR. These capabilities add up to faster time to results, less risk in the design schedule, and reduce the overall cost of tool ownership.

Allan Crone is the head of technology partnerships for the place and route group at Mentor, a Siemens Business.



Leave a Reply


(Note: This name will be displayed publicly)