Race Intensifies To Develop EUV Source

Different approaches hold promise and new production centers ready to roll, but problems persist.

popularity

By David Lammers
The technology competition to supply the source of EUV radiation for the next-generation lithography tools has long been divided between the laser-produced plasma (LPP) approach, favored by Cymer (San Diego) and Gigaphoton (Oyama, Japan), and the discharge -produced plasma (DPP) method supported by Xtreme Technologies (Aachen, Germany).

The competition is heating up, and it is being closely watched by the leading manufacturers of DRAMs, flash, and logic ICs, which are beginning to take possession of pre-production EUV scanners from ASML (Veldhoven, Netherlands).

For several years, many analysts gave the nod to the LPP approach, predicting that Cymer would be the dominant source supplier to ASML. Gigaphoton’s best shot was expected to be as the source provider for EUV scanners developed by second-ranked lithography vendor, Nikon (Tokyo).

That view has been upended in recent months as the three major source vendors step up their development efforts, and with ASML emphasizing that its EUV systems are designed to be “source neutral.”

Fig. 1:Xtreme Technologies employs a discharge-produced plasma (DPP) technology.

Fig. 1:Xtreme Technologies employs a discharge-produced plasma (DPP) technology.

Next week, Xtreme is expected to formally open its volume EUV source production center, located in a former Mitsubishi Electric semiconductor fab in Alsdorf, Germany, a village near Aachen and just an hour’s drive from ASML’s headquarters. Marc Corthout, president and chief operating officer, said Xtreme expects to announce the results of its latest DPP source, now in final testing, which he expects to exceed 30 watts of source power as measured after the intermediate focus (IF). Xtreme, now owned by Japan’s Ushio Corp., will host executives from ASML, IMEC, and other partners at the official opening of the Alsdorf facility and its 1,100-square-meter clean room. The site will serve as the company’s volume source production facility.

Fig. 1: Technicians in Aachen, Germany, work on the EUV source being developed by Xtreme Technologies.

Fig. 2: Technicians in Aachen, Germany, work on the EUV source being developed by Xtreme Technologies.

In Japan, Gigaphoton, a joint venture between construction equipment vendor Komatsu and lithography lamp manufacturer Ushio, is expected to provide a progress report on its source this week week at a Semicon Japan session where ASML and IMEC executives are expected to speak. Gigaphoton employs an LPP technology, using a tin source and a CO2 laser.

The immediate opportunity for all three source vendors is to provide the source module for ASML’s NXE 3100 EUV scanners, aimed at process development. ASML has built five of the six planned NXE 3100 models, which IMEC and five chip manufacturers will use to prove out their EUV-based recipes. The NXE 3100 is designed so that the source can be upgraded at the customers sites, allowing them to go from the roughly 10-watt level now to the goal of a 100 watts of source power by the middle of next year.

Samsung Electronics is believed to have taken possession of its NXE 3100 already, with IMEC and four others to follow. Beginning in 2012, ASML expects to begin shipping its NXE 3300 scanner, aimed at high-volume manufacturing, which will require an even more powerful source, exceeding 250 W in 2012 and 350 Watts in 2013, according to ASML’s roadmap.

Corthout said that while attention is rightfully placed on source power, in this early phase semiconductor companies are more concerned about reliability and getting a 100% duty cycle. “For the beta machines, power is not the highest priority, it is reliability, and the ability to make real chips.” At lower source power, a full-chip scan may take as long as four seconds. To make a complete chip, the pre-production scanners need to have a 100% duty cycle (the fraction of time that the scanner is in an active state), which Xtreme is providing now.

“The customers need to be convinced that the source power will increase later, but it is not their highest priority at the moment. The end customer wants the source and the scanner to be reliable, even at a lower power, so they can test more wafers. They prefer to ramp up slowly, to develop their process cookbook and gain more affinity with EUV,” Corthout said.

An EUV source’s performance includes the sustained power of the 13.5 nm wavelength within the defined range of spectral purity. Cleanliness, cost of ownership, and reliability are key factors. Also, the debris mitigation scheme adopted by Xtreme is working well, he said. The tin debris particles collide with a buffer argon gas and are deflected to foils.

“As we speak, we are bringing our source up to 30 watts, so we think we are ahead. But it is not just about expose power. We have a 100% duty cycle, and stability over long runs, so I think we are ahead,” he said.

Customer investments in EUV are growing, he added. “The three biggest chip companies in world are all investing more heavily. They are not delaying their plans for EUV, and right now they are often coming to our site to make sure they are getting the source they need.”

At the Sematech ISMI Symposium held in Austin last month, Bryan Rice, director of the Sematech lithography program, described the current state of EUV lithography. Rice said he “didn’t want to whitewash” the challenges facing EUV lithography before it can be made ready for high-volume manufacturing.

In addition to the “big gap” between today’s source power and what is needed for real-world production, Rice said the road to defect-free masks is steep. Today’s EUV masks have defect levels that are 10 times too high for memory manufacturers, and 100X higher than what logic manufacturers can bear. “There can be a few defects on a mask, but they have to be repairable,” Rice said.

Sematech and several industrial partners have formed the EUV Mask Infrastructure (EMI) consortium, with a budget of about $100 million and plans to ready an EUV mask blank inspection tool by the end of 2013 and an aerial inspection (AIMS) tool by 2014.

Rice said the current EUV scanners are too large, and too heavy, requiring chip makers to retrofit floors. Also, improvements are needed in the average lifetime of the source, and resist outgassing must be reduced.

He added that main concern remains source power. “We are seeing 45 watts in the lab, 15 watts in the field, but we need 100, hopefully over the next six months. For production tools, we need 250 watts, so there is a long road ahead.”



Leave a Reply


(Note: This name will be displayed publicly)