Process Model Calibration: Building Predictive and Accurate 3D Process Models


The semiconductor industry has always faced challenges caused by device scaling, architecture evolution and process complexity and integration. These challenges are coupled with a need to provide new technology to the market quickly. In the initial stages of semiconductor technology development, innovative process flow schemes must be tested using silicon test wafers. These wafer tests are leng... » read more

Bringing Advanced Semiconductor Manufacturing Technologies To Higher Education


Universities and other institutions of higher learning play a key role in developing our next generation of semiconductor technologies. Along with the theory of semiconductor technology, our next generation of scientists and engineers must learn about the practical methods used to design and manufacture the latest generation of semiconductor products. Recently, Coventor’s predictive, 3D proce... » read more

Virtual Fabrication For MEMS Process Development


MEMS fabrication and design are closely coupled, such that design changes could significantly alter the process flow and vice versa. For instance, setting device parameters such as drive capacitance, deflection distance, or proof mass size directly affects the choice of film thickness, etch rate, sidewall profile and so forth. Typically, this requires multiple iterations of the MEMS design/proc... » read more