Controlling Variability And Cost At 3nm And Beyond


Richard Gottscho, executive vice president and CTO of Lam Research, sat down with Semiconductor Engineering to talk about how to utilize more data from sensors in manufacturing equipment, the migration to new process nodes, and advancements in ALE and materials that could have a big impact on controlling costs. What follows are excerpts of that conversation. SE: As more sensors are added int... » read more

What’s Next For Atomic Layer Etch?


After years in R&D, several fab tool vendors last year finally began to ship systems based a next-generation technology called atomic layer etch (ALE). [getkc id="284" kc_name="ALE"] is is moving into 16/14nm, but it will play a big role at 10/7nm and beyond. The industry also is working on the next wave of ALE technology for advanced logic and memory production. Used by chipmakers fo... » read more