What’s Next For Atomic Layer Etch?

Technology begins shipping, but which approaches work best, and where, is still not clear.

popularity

After years in R&D, several fab tool vendors last year finally began to ship systems based a next-generation technology called atomic layer etch (ALE).

ALE is is moving into 16/14nm, but it will play a big role at 10/7nm and beyond. The industry also is working on the next wave of ALE technology for advanced logic and memory production.

Used by chipmakers for years, traditional etch systems remove materials on a continuous basis in devices. Considered a next-generation etch technology, ALE selectively removes targeted materials at the atomic scale without damaging other parts of the structure. For example, ALE can be used to remove materials in a structure to form trenches with gaps on the order of 10 to 15 angstroms or 5 atoms wide. (An angstrom is 0.1nm.)

Today, the industry is working on several types of ALE in what’s becoming a confusing landscape. In basic terms, the industry is moving in the following directions:

  • ALE tool suppliers are shipping systems based on plasma ALE, which can selectivity remove materials in an anisotropic or directional fashion.
  • The industry is now focusing on the development of thermal ALE, which removes materials in an isotropic or unidirectional basis. Others are using different ALE approaches for isotropic etches.
  • A few are developing hybrid plasma-thermal ALE.
  • The ultimate goal is to develop selective ALE, which promises to selectively remove a single type of material or atom on a surface.

All told, plasma ALE is in production at various chipmakers with more advanced versions inching toward manufacturing. “At this point, (ALE) is still in the early stages,” said Abhinav Davuluri, an analyst with Morningstar, an investment banking firm. “When we think about adoption, it’s going to be in logic and foundry first. From there, as it takes off, then you’ll see a little bit of a pick-up in DRAM.”

ALE won’t replace traditional etch for many steps, as the technology is slow in terms of throughput. But ALE is gaining steam in applications where today’s etch is limited or can’t do the job. “You are transitioning towards more ALE,” said Uday Mitra, vice president of etch and patterning strategy at Applied Materials. “As things go more 3D, there are more and more limitations of (traditional) etch. ALE will see faster growth with the more advanced nodes coming in.”

Applied Materials, Hitachi High-Technologies, Lam Research and TEL are among the suppliers of ALE tools in the market. Today, ALE represents a tiny percentage of the overall etch market. In total, the worldwide dry etch business is projected to reach $9.6 billion in 2017, up from $7.2 billion in 2016, according to Gartner.

What is ALE?
Etch, the process step that removes materials from the wafer to create the features of a device, is split into two categories—wet and dry. Wet etch uses liquid chemicals to remove materials. Dry etch, the bigger of the two markets, bombards ions on a surface as a means to remove materials.

For decades, chipmakers have used a dry etch technology, called reactive ion etch (RIE), in the fab. RIE involves creating plasma, which is an energized gas based on charged particles (ions) and radicals (neutrals), according to Lam Research. Plasma is generated by applying RF energy to a gas.


Fig. 1: Traditional plasma etch process. Source: Lam Research

RIE tools are fast and reliable, but there are some challenges. For one thing, chipmakers must process tiny features with little or no room for error. For example, a transistor gate structure might be 10nm wide, but the tolerance for variation in manufacturing is 1nm, according to Lam.

Then, in a traditional etch tool, a plasma source bombards the wafer with ions. This may work for tiny structures, but there is also a chance it could damage the structure. So for this and other structures, there is a need to selectively remove targeted materials without damaging the other parts.

This is where ALE fits in. “ALE has two basic conditions. It removes a single atomic layer, but in a self-limiting way. Secondly, it does not touch or damage the underlying and surrounding materials,” Applied’s Mitra said.

In the works since the 1980s, ALE has been stuck in R&D for several years. It has been a technology in search for an application. But in the last year or two, the ALE market began to heat up. Applied, Lam, TEL and others introduced the first wave of ALE systems in the market.

Finally, chipmakers see a need for it. “ALE is clearly the only way you can make some of these integration flows work for 7nm and 5nm,” said David Hemker, senior vice president and technical fellow at Lam Research at a recent event. “And we see it’s going to be used more and more.”

ALE is making inroads in some but not all applications. “ALE is being utilized today in two main application spaces,” said Peter Biolsi, senior director of technology development and process engineering at TEL. “(This is for) very tight pitch/space applications where etch ‘clogging’ can occur and for ultra-high selectivity/uniformity applications.”

On the technology front, meanwhile, ALE is related to atomic layer deposition (ALD). In ALD, a reactant is pumped into a chamber and it saturates the surface. The chemistry is then purged and the process repeated, thereby forming a single layer of material one atomic layer at a time.

ALD is a slow process, but the technology took off several years ago. Today, ALD is used in the high-k/metal-gate stack in logic, the capacitor formation process in DRAM, and in multiple patterning.

In comparison, ALE is the reverse of ALD. Using a sequential, self-limiting process, ALE removes materials layer-by-layer at the atomic scale.

ALE comes in different configurations. The process can take place in an existing etch tool with a special ALE chamber or a dedicated ALE system.

But regardless of the configuration, it involves a complex chemical reaction. In just one example from Lam, chlorine gas is injected into an ALE chamber and the molecules are absorbed into the surface. This creates a chlorinated layer. The unused chlorine is removed from the chamber. Then, argon ions are injected, which bombard the wafer and remove the unwanted parts of the thin chlorinated layer, according to Lam.


Fig. 2: ALE process cycle. Source: Lam Research

There are other ways to do ALE with a host of material combinations. So what’s the best approach?

“We feel there is no one best approach,” TEL’s Biolsi said. “We need to have capability for all approaches to best suit the material to be etched and the application’s requirements.”

Despite multiple possible approaches, ALE can be divided into two main categories—plasma ALE and thermal ALE, according to Steven George, a professor in the Department of Chemistry and Biochemistry at the University of Colorado (CU). Hybrid, which combines both technologies, is also in the mix.

Plasma and thermal ALE perform different types of etches, although they could be used together in the same process. “Within the ALE side, there is plasma ALE, where you are using energetic ions or neutrals to knock species off the surface to perform the etching,” George said. “Thermal is where you are using regular gas phase thermal reactions.”

For some time, plasma ALE has been running in production fabs. Generally, plasma ALE performs anisotropic or directional etches. In contrast, thermal ALE performs isotropic or unidirectional etches.

“Plasma ALE is directional. If you need to drill a hole, for example, you need the ions to do that. There are definitely things that plasma ALE or plasma processing in general can do that you can’t accomplish with thermal processing,” he said.

Plasma ALE has limited isotropic capabilities. “Plasma, to the extent you are using ions that are being accelerated at the substrate, is directional,” he explained. “But plasma can also yield other species like radicals. The radicals, to some extent, allow you to do a little bit of isotropic. It’s not going to be perfect. The radicals also come from the plasma source and they have a line of sight. They don’t easily go around corners.”

In contrast, thermal ALE is targeted for isotropic etches, which are more challenging. Thermal is one way to do isotropic etch, but not the only way. Some are using a radical-based approach.


Fig. 3: Directional etching (a), showing greater rate of material removal vertically instead of laterally, and isotropic etching (b), where material is removed at same rate in all directions. Source: Lam Research.

For isotropic applications, the ALE tool injects gas molecules that can travel anywhere. So the etching occurs anywhere the gas molecules go. “Everyone has become interested in thermal because it’s the new kid on the block. It can potentially do things that plasma ALE cannot,” George said. “The complementary nature of thermal ALE is that it allows you options on how to do etching that doesn’t require directional species. That’s a big deal.”

Thermal ALE won’t replace plasma ALE and vice versa. Chipmakers could use both to accomplish different tasks.

Meanwhile, some are working on a hybrid plasma-thermal ALE approach. “Then, you can get some of the advantages of thermal and then some of the directionality of plasma,” he said.

The hybrid approach is still in R&D. Plasma ALE is in production, but thermal ALE is still in the early stages and must be demonstrated on a wider variety of materials.

Then, with the various approaches, there are several challenges with both anisotropic and isotropic etches for ALE. For anisotropic ALE, the challenge is to maintain the self-limiting regime, which requires accurate control of ion energy and process conditions, according to Erwin Kessels, a professor in the Department of Applied Physics at the Eindhoven University of Technology (EIT).

Isotropic ALE is even more challenging. “I have been saying that everybody wants isotropic, but nobody knows how to do it yet,” Kessels said. “The challenge is to develop an isotropic ALE process.”

Typically, thermal ALE makes use of gas phase reactions to enable isotropic etches. Others are using a different approach for the same result.

For example, with its dedicated ALE tool, Applied Materials uses what it calls a radical-based approach for isotropic etch. In a two-step process, the surface is treated and then a radical-based etching process removes the targeted materials.

“We don’t use thermal because the temperatures are higher,” Applied’s Mitra said. “(The radical-based approach) gives extremely high selectively. It can etch one material and not touch another surface.”

Explaining this particular process, EIT’s Kessels said: “This is what we call an isotropic etch process, which is driven by radicals. So, you can do isotropic etch processes with it. Depending on the combination of materials on your wafer, you can potentially do it with excellent selectivity.”

All told, ALE enables a wide range of applications. Here are just a few of them for both anisotropic and isotropic:

  • Transistor structures. Today, ALE is being used in production to create self-aligned contacts. (See Fig. 3 below)
  • Extreme ultraviolet lithography. ALE will be used as a smoothing technique to fix the line-edge roughness issues caused by EUV patterning. ALE is also targeted for similar applications with the tiny contacts patterned with EUV.
  • Gate-all-around FETs. Gate-all-around (GAA), which may appear at 5nm, is a finFET on its side with a gate wrapped around it.


Fig. 4: ALE process for self-aligned contacts. Source: Lam Research

The first step in GAA flow is to make a super-lattice structure, which consists of alternating layers of silicon-germanium (SiGe) and silicon. In the flow, the SiGe layers must be removed without touching the silicon layers. For this application, ALE is the only way to accomplish this feat.


Fig. 5: Reasons to use ALE. Source: Applied Materials

What’s next for ALE?
So ALE is in production. But will it make any significant headway in the industry?

It’s too early to tell. ALE is still in its infancy, and logic and foundry vendors are the first adopters at 16nm/14nm and 10nm. “At 10nm, it’s mostly used when forming the contacts. Going forward, that’s the most likely scenario in terms of use cases,” Morningstar’s Davuluri said. “When we move to 7nm, 5nm and 3nm, it will mostly stick with the transistor forming applications.”

As before, though, ALE has some challenges—cost and throughput. “Along with the added precision and accuracy (with ALE), you’re getting a considerable amount of cost,” he said. “The actual process time, and the cycle time of that process step, is going to be considerably greater (for ALE) than some of the more traditional etch approaches.”

That will limit the ALE adoption for Intel, TSMC and Samsung. “They will try to limit their use cases with the exception of where it’s absolutely necessary. It’s where you need a perfect etch, whether it multiple patterning or a related application,” he said.

There are other factors. For example, Intel is reportedly using ALE for some steps at 10nm. Originally, Intel’s 10nm process was supposed to ramp up in volumes by year’s end. “Instead of getting products at the end of this year, it looks like this ramp is going to be in full force in 2018,” he said.

With those issues in mind, the overall size of the ALE market is a moving target. Today, ALE is somewhere between a $50 million to $100 million business, according to Morningstar. “That’s a pretty optimistic estimate,” he said. “Applied has come out and said by fiscal 2020, selective removal, which includes ALE, will be a $450 million addressable market.”

Applied Materials, in fact, sells two systems for ALE. The first tool (Sym3) is a general-purpose etch system. It can be configured to handle plasma ALE for anisotropic etch applications, such as self-align contacts and spacer-based patterning.

“You can do ALE on an existing chamber. You can put in the right controls, which enables you to do fast switching and pulsing. You can upgrade an existing chamber,” Applied’s Mitra said. “The big downside is that (ALE) is slow. The trick is to remove the reactants out very fast. So you need a chamber with very good conductance.”

The second tool (Selectra) is a dedicated ALE system for isotropic applications. “In the selective case, we are the only guys that have a tool,” Mitra said.

Logic is the first application, but not the only one. “Anisotropic has more applications now. Isotropic is for the new applications and inflections. It’s enabling the customer to solve the newer class of problems, especially as customers are moving to more and more 3D,” he said. “For example, if you look at a structure, where you have to go deep down in the structure, you might have to etch laterally. You can’t do that with a conventional etch reactor.”

Then, in R&D, Applied is working on a next-generation electron-beam plasma tool for ALE. The e-beam produces plasma in a chamber, enabling low electron temperatures (0.3eV) and ion energies (<2eV). “This is for really true atomic control,” he said. “Electron beam is used to generate ions with very low electron temperatures.”

Electron-beam ALE is still in the pathfinding stage. “You could use it for some exotic stuff,” he said. “For the next two or three nodes, I don’t think you will need something like an electron-beam source.”

So the company’s current ALE tools can do the job for the next two to three nodes. Going forward, though, the goal with the current tools is to boost the capabilities and throughputs.

Some time ago, meanwhile, Lam Research shipped an etch system capable of directional ALE. Now, Lam is now working on new directional ALE applications in its latest ethers (Kiyo and Flex).

“We see a continuous increase in the number of ALE applications node over node,” said Thorsten Lill, vice president of etch products at Lam. “The majority of critical etch applications require directionality, hence the focus on directional ALE.”

Like Applied, Lam also sees a need for isotropic ALE. “Vertical device integration drives the need for isotropic etch,” Lill said. “There will be a need to augment existing etching technologies with isotropic ALE.”

Meanwhile, TEL sells traditional etch products as well as a gas chemical etch system (Certas) for ALE. “TEL is already employing anisotropic and isotropic ALE approaches for dielectric etching and anisotropic etching of silicon. Isotropic ALE of silicon is still being refined,” TEL’s Biolsi said.

Behind the scenes, fab tool vendors are busy working on the different ALE approaches. The technology is being used for targeted applications, but over time, it will also work more with ALD in the fab.

ALE will also play a role in an emerging area called selective deposition. Combining novel chemistries with ALD, selective deposition involves a process of depositing materials and films in exact places.

“ALE will work in a complementary way with atomic layer deposition,” CU’s George said. “You can put down films with control with ALD. And then with ALE, you will be able to take away the material in a complementary way.”

Selective ALE is another technology to watch. With today’s ALE, the tool removes a targeted structure. Still in R&D, selective ALE takes this one step further. “Imagine you have a substrate or device and it has many different materials on the surface,” George said. “Now, you come in and want to etch all of the hafnium oxide or etch all of the silicon. To be able to do that with control will be very important.”

Chipmakers are still exploring all of the possibilities with ALE. The technology is still in its infancy and is being sorted out. “There will be many hybrid processes with combinations of etch, surface treatment and/or deposition,” EIT’s Kessels said. “We are just at the beginning of atomic-scale processing.”

Related Stories
Atomic Layer Etch Heats Up
New etch technology required at 10nm and below for next-generation transistors and memory.
Etching Technology Advances
Atomic layer etch (ALE) moves to the forefront of chip-making technology—finally.



Leave a Reply


(Note: This name will be displayed publicly)