Etching Technology Advances

Atomic layer etch (ALE) moves to the forefront of chip-making technology—finally.

popularity

Let’s get really, really small.

That directive from leading semiconductor companies and their customers is forcing the whole semiconductor supply chain to come up with new ways to design and manufacture ever-shrinking dimensions for chips. The current push is to 10nm and 7nm, but R&D into 5nm and 3nm is already underway.

To put this in perspective, there are roughly two silicon atoms in 1nm of line width in a chip. That helps explain why industry has adopted atomic layer deposition (ALD) first, and why it is now moving to (ALE).

These are extremely tiny dimensions, and profits are high for companies that can develop ways to improve productivity. Etch is an important tool in the arsenal. Uday Mitra, vice president of strategy and marketing for etch and patterning at Applied Materials, says the etching equipment market was worth $6.2 billion in 2015, up from $3.9 billion in 2014. ALE is the next big opportunity in this space, and it has drawn the attention of some of the largest equipment makers—Applied, Lam Research, Tokyo Electron and Hitachi High-Technologies.

ALE has been lurking in the shadows for some time. It was first patented in 1988, but even today it is not needed for many etch applications. Its key role is in flash memory devices, where high-selectivity etching is needed for the high-aspect ratios with the hard masks, along with the channel formation and the staircase contact. ALE can provide high-precision staircase etch, according to Mitra.

“It is often the last step in some applications,” he says. “ALE can be done by any traditional etch reactor, and atomic layer control is used by all the etch manufacturers. But highly selective etch is something new.”

Multi-patterning lithography, which is used to make many chips today, highlights the importance of selectivity in etching, according to Mitra. There are many layers of new materials involved in the patterning stack, including three hard-mask layers, such as those used by Intel in its colored patterning technology. There are highly complicated structures in 3D chips, he says.

Earlier this year, Applied Materials introduced its Applied Producer Selectra system for atomic-level etching with dielectric, metal, and semiconductor films. The ALE system is in volume production at foundry, logic, and memory chipmakers, and is the fastest-growing product in the company’s history.

That fits in with the overall picture of this market. “Etch equipment is growing faster than the total semiconductor equipment market, primarily due to the gush in multi-patterning for memory and logic devices,” says Navin Rajendra, senior industry manager for market researcher Technavio.

Several months ago, Lam Research entered the market. It added ALE capability to its Flex dielectric etch systems for high-volume manufacturing, which employs the company’s Advanced Mixed Mode Pulsing (AMMP) technology. The AMMP tech enables ALE of dielectric films, such as silicon dioxide, for next-generation logic and foundry applications.

“ALE opens a whole new world,” said Thorsten Lill, Lam’s vice president of Emerging Etch Technologies and Systems. “We are exploring a number of fabrications where atomic layer etch can play out its strength. The first one we introduced earlier this year is an application for etching dielectric materials, logic, and contacts. In addition to that, we are looking into etching of silicon and silicon germanium materials. Those could be high-aspect-ratio fins, or they could be gate-all-around, which are basically nanowires. Another interesting application is a potentially quite large field—line-edge roughness reduction for EUV resists. The problem statement there is that the line-edge roughness for EUV resist is the function of source power and dose. Actually, throughput is the function of source power and dose.”

Lill points out there are synergies between EUV and ALE. Atomic layer etching can provide very high selectivity and very good profile performance, he adds.

For 3D NAND, ALE is still an emerging technology. “As customers scale 3D NAND to more and more specs, and they shrink the size of the layers per spec, there will be use cases for atomic layer etch. But this is still in early innings, too early to talk about,” Lill says. There is not much use for ALE in DRAM production yet, but that may change as EUV lithography gains hold in high-volume manufacturing, he adds. ALE also works well with multipatterning lithography.

Getting selective
Reactive ion etching remains the core technology for the semiconductor industry, and is likely to retain its dominance for some time. But the trend is toward selectivity. Lam is seeing more physical etch and more chemical etch as device dimensions shrink and the variability of multipatterning becomes an issue. But reactive ion etching is versatile and well-established, with synergy between ions and atoms, so ALE is building on top on reactive ion etching. “We can very well understand what’s going on,” Lill says. “It’s important for repeatability and ease of tuning, ease of process development. That’s what we’re excited about, apart from the performance.”

Tokyo Electron views ALE as the best solution for advanced logic devices — high selectivity, low damage and critical-dimension uniformity, and vertical forms, according to Yoshinobu Mitano, vice president and general manager of TEL’s Etch Systems business unit.

TEL is focusing on the high-aspect-ratio contact process for memory chips, with process control at the wafer’s edge. The company offers its Tactras plasma etch system with the Tactras Vigus process chamber for ALE.

Akihisa Sekiguchi, vice president and general manager of TEL’s Advanced Semiconductor Technology Division, takes note of the multiple chip layers patterned with atomic level precision. Fin structures, for example, are fabricated with repeated steps of atomic layer deposition and precision etching known as self-aligned multipatterning, he says. There can be issues with pitches walking around. “You’ve got to look at the total integrated solution,” Sekiguchi says.

That requires a number of different approaches, but ALE has emerged as a critical piece.

“Almost any kind of vertical structures need something along those lines, a kind of processing control,” says Risto Puhakka, president of VLSI Research. “That’s what’s driving it. There’s going to be some time when people kind of figure out how to use it—the ability to expand the applications to different levels, like ALD. The requirements of the films on ALD and now the requirements on etch, are getting tighter and tighter. Its use-case applications will expand as it becomes more known and available. That’s the way they expand the business. Each use case uses so many etchers or so many ALD tools. But then if you can expand those applications, you keep the business going.”

Markets and drivers
Among the product segments of 3D NAND flash memory devices, logic chips, and DRAMs, it’s 3D NAND that is propelling sales of etchers, according to Puhakka. “That’s what’s driving the etch really, really hard. It’s the amount of etch that’s on the 3D NAND. It’s just expansively higher than any other process. Logic right now is doing well, because TSMC is ramping pretty strongly as we speak. That’s adding an extra demand factor over the 3D NAND right now. We kind of expect DRAM is going to be stronger next year, as well.”

Growth in logic IC production is spacer-related, he adds.

“It’s clear everything is going to the atomic-level control,” Puhakka says. “One way or the other, that’s where the industry is clearly moving. The demand is there. The technology is still kind of emerging. Of course, ALD is established, but ALE is an emerging technology. The drivers are very clear. You need to have the atomic-level control for very advanced deposition.”

That view is being echoed around the semiconductor industry. “Until recently, ALE was restricted only to labs for R&D purposes,” says Technavio’s Rajendra. “ALE tools were slow and expensive compared to the traditional etching methods, such as dry etching. In addition, with the advent of 10nm and lesser node sizes, ALE is being introduced into fab level, as it etches at atomic level in a selective and precise manner.  As ALE is used for accurate and precise etching, it’s mostly useful for smaller size nodes where the traditional etching methods are ineffective. As a result, for the next-gen memory and logic devices, ALE will be a handy tool. For instance, the gaps between the individual fins of a 14nm finFET is about 35 to 40 angstroms. Also, with the miniaturization, the semiconductor industry would evolve to much smaller 10nm or 7nm finFETs, whose gaps would be in the range of 10 to 15 angstroms, which definitely requires a precise etching tool. ALE would be the ideal solution. As the devices are being scaled down to atomic level, the removal of layers is getting complex using traditional etching methods. ALE is gaining traction because it offers high selectivity and low damage. 3D NAND, DRAM, and finFETs are the prominent chips being made using ALE.”

Rajendra notes that at the most advanced nodes, “deep structures are vulnerable to bowing, tilting, twisting, or premature etch-stop. Usage of ALE eliminates such vulnerabilities…Chipmakers need to be precise in maintaining the dimensions of their devices, as it influences the performance, error rate, speed, and durability. This new technique will monitor the wafer surface as it is etched in real time, with nanometer resolution. This is possible because, the technique uses a special type of microscope that uses two beams of light to measure the topography very precisely. This method is low in cost, faster, and less noisy compared to the traditional methods, which also do not monitor the etching in progress. Moreover, the method is purely optical, hence there’s no contact with the semiconductor surface. This will allow manufacturers to monitor the entire wafer at once instead of point-by-point.”

Conclusion
For years, ALE was a technology in search of a market. As feature sizes continue to shrink, and as the need for precision becomes more common in multiple manufacturing steps, the use of this technology will continue to grow.

After nearly two decades, ALE is finally going mainstream—one nanometer at a time.

Related Stories
Atomic Layer Etch Heats Up
New etch technology required at 10nm and below for next-generation transistors and memory.
ALD Market Heats Up
Number of applications for technology increase, and so do the number of companies vying for a piece of the growing market.
Can Nano-Patterning Save Moore’s Law?
Selective deposition is showing promise in the lab, but it’s a long way from there to production.
What Transistors Will Look Like At 5nm
As finFETs run out of steam after 7nm, what comes next? The debate is just beginning.



Leave a Reply


(Note: This name will be displayed publicly)