3D Metrology Meets Its Match In 3D Chips And Packages


The pace of innovation in 3D device structures and packages is accelerating rapidly, driving the need for precise measurement and control of feature height to ensure these devices are reliable and perform as expected throughout their lifetimes. Expansion along the z axis is already well underway. One need look no further than the staircase-like 3D NAND stacks that rise like skyscrapers to p... » read more

Hybrid Photoresist Capable Of High-Resolution, Positive-Tone EUVL Patterning


A technical paper titled “Vapor-Phase Infiltrated Organic–Inorganic Positive-Tone Hybrid Photoresist for Extreme UV Lithography” was published by researchers at Stony Brook University, Brookhaven National Laboratory, and University of Texas at Dallas. Abstract: "Continuing extreme downscaling of semiconductor devices, essential for high performance and energy efficiency of future microe... » read more

Patterning With EUV Lithography Without Photoresists


A technical paper titled “Resistless EUV lithography: photon-induced oxide patterning on silicon” was published by researchers at Paul Scherrer Institute, University College London, ETH Zürich, and EPFL. Abstract: "In this work, we show the feasibility of extreme ultraviolet (EUV) patterning on an HF-treated Si(100) surface in the absence of a photoresist. EUV lithography is the leading ... » read more

EUVL: Extreme Ultraviolet Lithography Research, Development, And Manufacturing (NIST)


A special paper titled “Report from the Extreme Ultraviolet (EUV) Lithography Working Group Meeting: Current State, Needs, and Path Forwards” was published by researchers at National Institute of Standards and Technology (NIST). Abstract: "This is the report of a hybrid working group meeting held on April 25, 2023, at the National Institute of Standards and Technology (NIST) in Boulder, C... » read more

Investigating The Ru/Ta Bilayer As An Alternative EUV Absorber To Mitigate Mask 3D Effects


A technical paper titled “Ru/Ta bilayer approach to EUV mask absorbers: Experimental patterning and simulated imaging perspective” was published by researchers at KU Leuven and imec. Abstract: "The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography. Imaging metrics, including Normalized Imag... » read more

Novel Assist Layers To Enhance EUV Lithography Performance Of Photoresists On Different Substrates


In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow for higher aspect ratios. In addition, future EUV high numerical aperture (NA) is expected to require a decrease in thickness from the overall patterning stack. In this study, we are exploring a fundamentally new approach to developing an alt... » read more

EUV Lithography: Results of Single Particle Volume Charging Processes in EUV Exposure Environment With Focus On Afterglow Effects


A new technical paper titled "Particle charging during pulsed EUV exposures with afterglow effect" was published by researchers at ASML, ISTEQ B.V., and Eindhoven University of Technology. Abstract "The nanoparticle charging processes along with background spatial-temporal plasma profile have been investigated with 3DPIC simulation in a pulsed EUV exposure environment. It is found that the ... » read more

Large-Scale Nanometer-Thick Graphite Film (NGF) As A EUV Pellicle


A new technical paper titled "Graphite Pellicle: Physical Shield for Next-Generation EUV Lithography Technology" was published by researchers at University of Ottawa, Sungkyunkwan University, and Hanbat National University. Abstract "Extreme ultraviolet lithography (EUVL) is widely employed in the electronics, automotive, military, and AI computing areas for IC chip fabrication. A pellicl... » read more

Looking Forward To SPIE, And Beyond


On the eve of this year’s SPIE Advanced Lithography + Patterning conference, I took a look at the IEEE Devices and Systems Roadmap’s lithography section. It’s especially notable for the emergence of EUV lithography, which has quickly become critical for advanced logic. High-NA tools to support still smaller dimensions are on the horizon. In the near-term, though, the key challenge is not ... » read more

Mapping The Future Of Lithography


The SPIE Advanced Lithography + Patterning (AL+P) Symposium is always an informative event for lithographers, and looking at the Advance Program, it appears that AL+P 2023 will be no exception. The progress being made on key lithographic challenges is consistently of interest to attendees, and there will be many timely presentations that address issues of current significance. For example, r... » read more

← Older posts