Metal Oxide Resist (MOR) EUV Lithography Processes For DRAM Application


This paper reports the readiness of key EUV resist process technologies using Metal Oxide Resist (MOR) aiming for the DRAM application. For MOR, metal contamination reduction and CD uniformity (CDU) are the key performance requirements expected concerning post exposure bake (PEB). Based on years of experience with spin-on type Inpria MOR, we have designed a new PEB oven to achieve contamination... » read more

ML Architecture for Solving the Inverse Problem for Matter Wave Lithography: LACENET


This recent technical paper titled "Realistic mask generation for matter-wave lithography via machine learning" was published by researchers at University of Bergen (Norway). Abstract: "Fast production of large area patterns with nanometre resolution is crucial for the established semiconductor industry and for enabling industrial-scale production of next-generation quantum devices. Metasta... » read more

High-NA EUV May Be Closer Than It Appears


High-NA EUV is on track to enable scaling down to the Angstrom level, setting the stage for chips with even higher transistor counts and a whole new wave of tools, materials, and system architectures. At the recent SPIE Advanced Lithography conference, Mark Phillips, director of lithography hardware and solutions at Intel, reiterated the company’s intention to deploy the technology in high... » read more

High-Temperature Stable Spin-On Carbon Materials For Advanced Pattern Transfer Applications


In recent years a strong demand has arisen for spin-on carbon (SOC) materials compatible with high-temperature processes. This requirement is to enable usage of high-temperature SOC (HTSOC) materials in integration schemes utilizing chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) processes. In addition to compatibility with the high-temperature deposition processes, planari... » read more

Investigation of the Resistivity and Emissivity of a Pellicle Membrane for EUV Lithography


New technical paper from Hanyang University and University of Texas at Dallas. Abstract "A pellicle is a thin membrane structure that protects an extreme ultraviolet (EUV) mask from contamination during the exposure process. However, its limited transmittance induces unwanted heating owing to the absorption of EUV photons. The rupture of the EUV pellicle can be avoided by improving its ther... » read more

International Roadmap for Devices and Systems lithography roadmap


Abstract: "Background: Planned improvements in semiconductor chip performance have historically driven improvements in lithography and this is expected to continue in the future. The International Roadmap for Devices and Systems roadmap helps the industry plan for the future. Aim: The 2021 lithography roadmap shows requirements, possible options, and challenges for the next 15 years. Resul... » read more

High-NA EUVL: the next major step in lithography


"In the course of 2025, we expect to see the introduction of the first high-NA extreme ultraviolet (EUV) lithography equipment in high-volume manufacturing environments. These next-generation lithography systems will be key to advance Moore’s Law towards the logic 2nm technology generation and beyond. In this article, imec scientists and engineers involved in preparing this major engine... » read more

Underlayer Optimization Method For EUV Lithography


Photoresist and underlayer combine to serve a central role in EUVL for patterning. Layers will be very thin in future, because high numerical aperture (NA) and tight pitches will require very thin layers in the lithography stack. This thinness will make chemical interactions at the photoresist-underlayer interface more common. Adhesion between these layers will be critical to overcome pattern c... » read more

Finding, Predicting EUV Stochastic Defects


Several vendors are rolling out next-generation inspection systems and software that locates problematic defects in chips caused by processes in extreme ultraviolet (EUV) lithography. Each defect detection technology involves various tradeoffs. But it’s imperative to use one or more of them in the fab. Ultimately, these so-called stochastic-induced defects caused by EUV can impact the perf... » read more

Improvement Of EUV Si Hardmask Performance Through Wet Chemistry Functionalization


In EUV lithography, spin-on silicon hardmasks have been widely used not only as etch transfer layers, but also as assist layers to enhance the lithographic performance of resist. In this study, we demonstrate a novel approach to functionalize spin-on silicon hardmasks by hybridizing them with functional groups through a sol-gel approach. By varying the concentration and type of the functional g... » read more

← Older posts Newer posts →