Survey: eBeam Initiative Luminaries (formerly Perceptions) Survey Results


Survey of 77 industry luminaries across 42 different companies in July 2020 says net neutral COVID-19 business impact by 2021, with 24% positive vs 20% negative predictions. Click here to view the survey results. » read more

Manufacturing Bits: Aug. 10


EUV mask cleaning process TSMC has developed a new dry-clean technology for photomasks used in extreme ultraviolet (EUV) lithography, a move that appears to solve some major problems in the fab. TSMC and Samsung are in production with EUV lithography at advanced nodes, but there are still several challenges with the photomasks and other parts of the technology. Using 13.5nm wavelengths, EUV... » read more

Manufacturing Bits: June 8


Maskless EUV lithography At this week’s 2020 EUVL Workshop, KJ Innovation will present more details about its efforts to develop a maskless extreme ultraviolet (EUV) lithography technology. Still in R&D, KJ Innovation’s maskless EUV technology involves a high-numerical aperture (high-NA) system with 2 million individual write beams. The 0.55 NA technology is targeted for direct-write l... » read more

Manufacturing Bits: June 2


EUV lithography in outer space The U.S. space program made history on May 31, 2020, when NASA astronauts Robert Behnken and Douglas Hurley aboard SpaceX’s Crew Dragon spacecraft arrived at the International Space Station (ISS). This is the first time a commercial spacecraft has delivered astronauts to the ISS. The ISS serves as a research lab for companies, government agencies and universiti... » read more

Super Planarizing Material For Trench And Via Arrays


As device design scales and becomes more complex, fine control of patterning and transfer steps is integral. Planarization of deep trenches and via arrays has always been a challenge. Aspect ratios continue to increase while critical dimensions shrink, and typical trench fill schemes are no longer able to meet the fill and planarization requirements. Traditional design of spin-on carbon (SOC) m... » read more

EUV Remains Elusive


By David Lammers Intel’s decision to invest as much as $4.1 billion in ASML has raised overall confidence levels in EUV lithography, and should allow the Dutch lithography vendor to funnel more funds into the stubbornly difficult effort to raise the EUV source power. ASML has said it needs to reach 250 Watts of average source power to achieve the 125 wph throughputs sought by its early cu... » read more

CNSE Readying NFX Fab for G450C, EUV Efforts


By David Lammers Two key areas of the semiconductor industry’s future—the 450mm wafer transition and EUV lithography—are the focus of the new NFX (NanoFab Xtension) building now under construction at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany. [caption id="attachment_6322" align="alignright" width="120" caption="Alain Kaloyeros"][/caption] T... » read more

ASMC: TSVs Needed as Scaling Challenges Mount


By David Lammers With the industry facing challenges in the introduction of EUV lithography and high costs for double patterning, TSV introductions have taken on heightened importance, participants said at the SEMI Advanced Semiconductor Manufacturing Conference (ASMC), held in Saratoga Springs, N.Y. in mid-May. Risto Puhakka, president of market research firm VLSI Research Inc., said the g... » read more

Newer posts →