EUV Remains Elusive

EUV source power is improving, but it’s still too low. Moreover, previous targets may be too low for contact holes, vias and cut line-and-space gratings.

popularity

By David Lammers
Intel’s decision to invest as much as $4.1 billion in ASML has raised overall confidence levels in EUV lithography, and should allow the Dutch lithography vendor to funnel more funds into the stubbornly difficult effort to raise the EUV source power.

ASML has said it needs to reach 250 Watts of average source power to achieve the 125 wph throughputs sought by its early customers—roughly 10x today’s situation. However, with an influential Intel lithography manager saying that EUV source power needs to be in the 1,000 Watt range for contact holes and other critical layers, it is clear that source power remains far less than is needed.

Cymer Inc. (San Diego) recently said it has achieved 50 watts of average output power at a 40% percent duty cycle, operating for five hours in a closed loop. While scanners must step between die and pause for a few milliseconds, one lithography expert said a 40% duty cycle at 50W is essentially a 25W source at the required 80% to 90% duty cycle.

Hans Meiling, director of product management at ASML, emphasized the rate of progress, saying that a year ago the Cymer laser-produced-plasma (LPP) sources on the NXE: 3100 scanners were capable of only 1 to 2 watts of source power. “Today we are a factor of 10 higher, at 10 watts, which gives a throughput of six to seven wafers per hour,” he said. By the middle of next year ASML expects to have 70 watts of source power in its NXE:3300B EUV scanners.

EUV radiation is produced by hitting tin droplets with a powerful laser, and then filtering the 13.5 nm EUV wavelength. “Our suppliers are focused on the pre-pulse concept to create a mist of tin for higher conversion efficiency,” Meiling said at the Semicon West trade show.

Nigel Farrar, vice president of technical marketing at Cymer, said pre-pulsing the tin droplets—as they are dropping at 60 meters per second—“puffs them up a bit” so the CO2 laser can achieve a higher in-band conversion efficiency.

Three avenues at Cymer
Pre-pulsing is one of a trio of techniques Cymer is building in to the second-generation sources that ASML will integrate with its NXE:3300B scanners coming to market next year. These “HVM II” sources are a new architecture, and will boost the laser input power by using four amplifiers instead of three. Also, the collector efficiency is being improved by using a built-in capping layer on top of the molybdenum-silicon (MoSi) multilayer on the collector optics.

The vessel for the HVM II source being developed by Cymer, Inc. (Source: Cymer)

Farrar said Cymer was able to operate the collector module for four months, up from what Meiling said was about a week a year ago, extending the operating time by incorporating a hydrogen clean technique.

“We are going through learning cycles, using parallel activities on three dedicated systems,” Farrar said. While reaching its source-power goals is taking much longer than expected, he said there are no fundamental scientific reasons standing in the way of adequate source power. “It is not physics; it is engineering,” Farrar said.

Nevertheless, breakthroughs are needed. Meiling said ASML engineers are working at Cymer to create fully automated controls and introduce other techniques. “The leveling off can be eliminated. We can reach 90 to 100 watts with our current knowledge. A year ago we could not show you pre-pulse. Now we can do that for 5.5 hours,” he said.

Throughput, however, depends on combining a more-powerful source with a photoresist, which combines sensitivity and acceptable shot noise, line edge and line width roughness (LER and LWR) and other variables. Meiling noted that contacts—the holes that are one of the most critical device layers, requiring the best-resolution lithography—require a less-sensitive resist chemistry, which in turn calls for a higher source power.

“A joint effort has to come from both sides, from the resists and the source-scanner development,” Meiling said.

1,000 watts for contacts?
Yan Borodovsky, an Intel senior fellow and director of advanced lithography at the Technology and Manufacturing Group in Portland, said that EUV source power needs to be in the range of 1,000 watts for the contact holes, partly due to the much slower resists required for good contact hole patterning. Contact resists must be in the range of 60 to 70 milliJoules/cm².

Speaking at the 2012 International Workshop on EUV Lithography, held recently in Maui, Hawaii, Borodovsky spoke about the need for optical proximity correction (OPC) infrastructure development in order to overcome the 3D effects in EUV masks.

At the EUVL Workshop, Borodovsky concluded that EUV source power targets “need to be revised upwards” to more than a kilowatt of average in-band source power at the intermediate interface for EUV to be used for contact patterning and complementary lithography: a combination of gratings patterned with immersion ArF scanners with “cut mask” layers to create cuts in the lines.

Franklin Kalk, CTO at Toppan Photomasks, said EUV could be far more efficient that immersion ArF for the cut masks, requiring only one mask layer rather than four for 193 immersion.

The relationship between EUV source power and resist sensitivity has been hotly debated for the last decade, with EUV critics arguing that the ITRS roadmap assumes EUV resists which are far more sensitive than can be realistically achieved. Borodovsky said much of the discussion about EUV lithography has focused on using EUV for contacts and vias, while failing to fully acknowledge the shot noise, LER, and random or stochastic effects of EUV resists. At Semicon West, Borodovsky praised the rate of progress on EUV resist development, but emphasized that the most sensitive resists are not practical for the contacts and vias, where much slower resists are required to meet yield targets.

“Shot noise statistics alone lead to the conclusion that source in-band average power needed to expose resist capable of meeting the high-volume-manufacturing contacts and cuts patterning requirements might need to exceed 1,000W at the intermediate focus (IF),” Borodovsky said at the workshop.

Directed Self Assembly (DSA) might help relieve the situation, shrinking the contacts, vias, and cuts created either with 193i, EUV or direct-write E-beam, Borodovsky said during the lithography TechXPOT at Semicon West. “DSA will be used extensively, either in conjunction with 193i, or to shrink the cuts and vias down while rectifying EUV/EB size variability induced by shot noise and other stochastics,” he concluded.



Leave a Reply


(Note: This name will be displayed publicly)