Photomask Shortages Grow At Mature Nodes


A surge in demand for chips at mature nodes, coupled with aging photomask-making equipment at those geometries, are causing significant concern across the supply chain. These issues began to surface only recently, but they are particularly worrisome for photomasks, which are critical for chip production. Manufacturing capacity is especially tight for photomasks at 28nm and above, driving up ... » read more

Wanted: Mask Equipment for Mature Nodes


Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment. The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are bu... » read more

Multi-Beam Market Heats Up


The multi-beam e-beam mask writer business is heating up, as Intel and NuFlare have separately entered the emerging market. In one surprising move, [getentity id="22846" e_name="Intel"] is in the process of acquiring IMS Nanofabrication, a [gettech id="31058" t_name="multi-beam e-beam"] equipment vendor. And separately, e-beam giant NuFlare recently disclosed its new multi-beam mask writer t... » read more

Insider’s Guide To Photomasks


Semiconductor Engineering sat down to talk about photomasks and lithography with Franklin Kalk, executive vice president of technology at Toppan Photomasks, a merchant photomask supplier. What follows are excerpts of that conversation. SE: What’s hot in mask technology these days? Kalk: It’s everything from the bleeding-edge like EUV to much more mature manufacturing. On the mature si... » read more

High NA EUV Litho May Require Larger Photomask Size


By Jeff Chappell With extreme ultraviolet lithography (EUV) potentially being used in pilot production in a few years, it raises the question of larger photomasks sizes—will the industry need them, and if so, when? While there has been discussion of late about the possible need to transition to a larger mask size, veterans of the mask business may feel it's déjà vu all over again. Back... » read more

Merchant Photomask Makers Remain Relevant


By Jeff Chappell For many years the trend in the semiconductor industry with regard to photomasks and chipmakers was to shed captive mask operations in favor of merchant photomask suppliers. This reflected a larger trend all along the supply chain with many companies moving away from vertical integration as, consequently, the foundry model grew. "This was mainly driven by cost consideratio... » read more

Challenges Grow For EUV


By Mark LaPedus In the late 1990s, a group led by Intel launched a consortium to propel extreme ultraviolet (EUV) lithography into the mainstream. Originally, the consortium, dubbed the EUV LLC, envisioned the advent of EUV scanners that would move into production at the 65nm node. Clearly, the now-defunct consortium underestimated the difficulties and challenges associated with EUV. ASM... » read more

Beam Me Up


By Mark LaPedus For years, electron-beam tools have been struggling to keep up with photomask complexity, causing an alarming increase in write times and mask production costs. Intel and others recently warned that e-beams soon could reach their fundamental limits, thereby requiring the need for new solutions. And in the multiple patterning era, mask makers could see their capital costs soa... » read more

Behind The Mask


By Mark LaPedus Semiconductor Manufacturing & Design sat down to discuss the current and future photomask manufacturing challenges with Franklin Kalk, executive vice president and chief technology officer at Toppan Photomasks, one of the world’s largest merchant mask makers. SMD: The outlook for the photomask industry is for 2% growth in 2012. Do you agree with that? Kalk: That’s ... » read more

Mask Repair Enters The Spotlight


By Mark LaPedus For years, the biggest challenges in photomask manufacturing have revolved around the slow write times for electron-beam tools and soaring mask inspection costs. Now, photomask repair, a sometimes forgotten technology in the mask shop, is in the spotlight and turning into the clash of the titans. Mask repair involves the process of finding defects on a photomask and repairin... » read more

← Older posts