Wanted: Mask Equipment for Mature Nodes

Shortfall caused by demand spike for analog, MEMS and RF chips.

popularity

Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment.

The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are built in 200mm fabs. It also could impact chips at legacy nodes in 300mm fabs.

In response, many photomask equipment vendors have developed or are working on tools for mature nodes to meet demand, but it’s unclear if the industry can respond in time. It’s also hard to predict how long the boom cycle will last for mature nodes.

Regardless, demand for mature nodes is causing stress throughout the supply chain today. For example, growing demand for analog, MEMS and RF chips continues to cause shortages for both 200mm fab capacity and front-end equipment.

This is having a trickle-down effect in the photomask industry. A photomask is required to make a chip. In the flow, a chipmaker designs an IC, which is translated from a file format into a mask. The mask is a master template for an IC design. Inside the fab, the mask is placed in a lithography scanner. Light is then projected through the mask and used to pattern images on a wafer.

A photomask vendor makes the mask in a production facility using a variety of equipment. Today, mask makers see strong demand for all nodes, and mask equipment vendors generally are keeping up with high-end demand. But it’s a different story for mature nodes.

“The expansion we are seeing right now is everywhere. It’s 300mm. It’s 200mm. It’s 14nm and 180nm,” said Franklin Kalk, executive vice president of technology at Toppan Photomasks, a supplier of photomasks, at a recent event. “One of the things that we didn’t contemplate in the past was the resurgence of legacy products. 200mm fabs build legacy products. That’s anything 90nm and above. The problem is that the industry is really structured to respond and chase the high end. We are not really prepared to cope with this mature resurgence.”

Based on a projected forecast through 2022, Kalk sees a shortfall of older mask writers and repair systems. Etchers and metrology tools are in the same boat, although the situation is better for mask inspection. In many cases, spare parts are hard to find.

And in some instances, the original OEM won’t support older tools or the vendor went out of business. In that case, the mask vendor itself must support the tool.

Fig. 1: Mask manufacturing tool prognosis for 2020. Source: Toppan

Not all photomask makers are impacted by the dynamics. These issues involve several mask makers that provide photomasks for mature nodes. It does not involve leading-edge photomask vendors.

Customers need to keep a close eye on the market. To help the industry get ahead of the curve, Semiconductor Engineering has taken a look at the mask industry and the status of several tool types.

Mask/chip trends
The IC market is divided into several segments. At the leading edge, chipmakers are ramping up devices at 16nm/14nm and below in 300mm fabs.

Chipmakers also use 300mm fabs to produce devices in several segments above 16nm/14nm. The industry “is experiencing high demand from mature 12-inch processes,” said Jason Wang, co-president of UMC. “With new applications in 5G, IoT, automotive and AI requiring these technologies, we anticipate the market conditions driving this demand to remain strong for the foreseeable future.”

For example, a smartphone incorporates leading-edge chips, but that represents a small percentage of the devices in a phone. “The rest of it is PMICs, analog and BCD class of technologies,” said Bami Bastani, senior vice president at GlobalFoundries, in a recent interview. “You don’t want to go to smaller geometries with many of these products. Until they are at the end of life, customers don’t want to move off of them.”

China, meanwhile, is another driver for chips at less aggressive nodes. “It’s related to a boom in AI and IoT. For these things, they generally don’t need very high-end chips. And for these kinds of things, it is becoming very hot in China,” said Banqiu Wu, senior director of process development at Applied Materials.

Not all devices require 300mm fabs. In fact, there is enormous demand for capacity in older 200mm plants. In total, the number of 200mm fabs worldwide is expected to grow from 188 in 2016 to 202 by 2021, according to SEMI.

“A wide range of applications are increasingly dependent on devices, such as sensors, advanced power semiconductors, analog/mixed signal and RF ICs. Also, the emergence of the Internet of Things means that these devices are now often intelligent and networked,” said Michelle Bourke, strategic marketing director at Lam Research. “This trend is driving the demand for increased, yet cost-effective fabrication capacity in established 300mm and 200mm fabs.”

In response, Applied, Lam, TEL and others are building new and/or refurbished 200mm equipment. “At Lam, we provide a full suite of services to restore used equipment to original specifications and guarantee performance, which reduces chipmakers’ risk and uncertainty,” Bourke said.

Meanwhile, the IC activity is causing a surge for photomasks. In total, the photomask market grew 13% to a record high $3.75 billion in 2017 and is forecast to exceed $4.0 billion in 2019, according to SEMI. The mask market is expected to grow 5% and 4% in 2018 and 2019, respectively, according to SEMI.

The mask business is growing in all segments. “We are at the point where there is a lot of ILT (inverse lithography technology) and sophisticated shapes being used on the mask,” said Aki Fujimura, chief executive of D2S. “That’s one side of it. There is a lot more activity in the semiconductor market in general. Specifically, there are new design starts in the leading-edge space.”

While the leading edge grabs most of the attention, the majority of mask shipments involve more mature nodes. In 2017, there was a total of 2,000 mask-set tape outs at 65nm and higher, according to Toppan. Then, there were 1,400 mask-set tape outs from 14nm to 40nm last year, according to Toppan.

At 10nm/7nm and 5nm, though, there were less than 100 mask-set tapeouts recorded in 2017, according to Toppan.

Generally, only a few foundry customers can afford to develop chips beyond 10nm. So there are fewer masks being developed at advanced nodes and they are expensive. A 14nm mask-set runs about $3 million, while a 7nm mask-set is more than $5 million, possibly $7 million to $8 million, analysts said.

Meanwhile, the photomask vendors themselves are divided into two categories—captive and merchant. The captive vendors, which tend to build leading-edge masks, are chipmakers and foundries that have their own photomask production facilities. GlobalFoundries, Intel, Micron, Samsung, TSMC and others fall into this category.

The merchant mask makers are independent, third-party suppliers. They include DNP, Photronics, Toppan and others. Some, but not all, merchant mask makers build both leading- and trailing-edge masks for device makers and fabless design houses.

Captive mask suppliers accounted for 65% of the total photomask market last year, up from 63% in 2016, according to SEMI. In 2013, captive mask shops represented 31% of the photomask market.

Chip and mask production have become more complex at advanced nodes. To reduce that complexity, chipmakers tend to align various parts of the ecosystem, such as IC design, photomask production and chip fabrication, under the same roof. Foundries don’t design chips, but they do want to align mask and fab production. This is why leading-edge foundry customers tend to have their masks produced at the foundries. And that, in turn, has accelerated the market share at the captive mask shops, thereby reducing the pie for the merchant houses.

Unlike the photomask market, however, the mask equipment business is sluggish. Over the years, the photomask vendor base has undergone considerable consolidation. There are fewer mask shops today, so the volumes of equipment are smaller.

In 2017, mask equipment revenues were $950 million, according to Lara Chamness, an analyst at SEMI. “The peak year for mask equipment sales was in 2012, with sales of $1.26 billion. 2011 had sales of $1.11 billion,” Chamness said. “2017 is 25% below the market peak, but I suspect that the composition of the tool mask market has evolved and the delay of EUV has not helped.”

For years, mask equipment vendors have been developing tools for EUV photomasks, such as inspection and multi-beam mask writers. Vendors are also developing systems for high-end optical masks.

“The critical challenge for mask shops is at the very high end,” Applied’s Wu said. “The technology is more complicated. They need more resources to solve the problem.”

Indeed, mask equipment vendors focus more of their attention on the leading edge, and not the trailing edge. The profits are higher at advanced nodes and the margins are slim for older systems.

Consequently, the industry is reluctant to build, upgrade and maintain older mask gear, which is coming back to bite the industry. Now, amid demand for trailing-edge masks, there is a shortfall of equipment in most of the major categories.

Based on Toppan’s forecast, there is not enough equipment to meet demand at least until 2022, meaning customers could face longer mask turnaround times at mature nodes.

Others have a different viewpoint, saying that supply will catch up with demand for trailing-edge masks at some point.  “Demand will be solved,” Applied’s Wu said. “The market will adjust automatically.”

Regardless, the mask industry requires more equipment for mature nodes and vendors are beginning to step up to meet the challenges.

Mask writer shortfall?
In mask making, the process starts with the production of a substrate or mask blank. Once the blank is made by a mask blank manufacturer, the blank is shipped to the photomask maker.

Then, in the mask shop, the mask blank is patterned using an e-beam mask writer. The patterned mask is then inspected for defects. Defects can be fixed using a mask repair system.

Fig. 2: Fabrication of an EUV Mask Source: Sematech

Mask writing is a key step in this process. For this, photomask makers use two types of tools for each mask—e-beams and laser-based pattern generators. Pattern generators pattern the larger features, while e-beam tools are used to pattern the most critical layers on the mask.

The most common type of e-beam is a single-beam tool, based on variable shape beam (VSB) technology. Recently, vendors have introduced multi-beam mask writers for the latest nodes. IMS and NuFlare are the main suppliers of e-beam tools. IMS makes multi-beam writers, while NuFlare sells VSB tools and is developing multi-beam systems.

Today, there are about 375 mask writers in operation in the photomask industry, according to Toppan. The number is evenly split between e-beams and laser tools today. “Over the next five years, demand for all mask writing platforms, regardless of type or vintage, will grow,” Toppan’s Kalk said.

There is enough e-beam production capacity to meet demand at the high end. But there is projected to be a shortfall of e-beams and pattern generators at older nodes.

If the industry continues to build e-beam tools at the current rate, there is projected to be a gap of 100,000 standard and mature masks that the industry cannot make by 2022, according to Kalk.

To meet demand by 2022, Kalk said that mask makers in total will require the following writing tools—100 advanced e-beams; 45 mid-range e-beams; 10 or more advanced pattern generators; and 20 standard/mature pattern generators.

Then, even if the mask industry doesn’t grow during this period, mask makers will still require 22 mid-range e-beams and 5 advanced laser tools by 2022, he said.

Regardless, suppliers of e-beams and laser tools are responding to the demands. “We received strong requests of older e-beams from several customers,” said Hirokazu Yamada, director of the Mask Lithography division at NuFlare. “That means they need more e-beams to fabricate trailing-edge masks.”

To meet demand, NuFlare has developed a new e-beam mask writer, called the EBM-8000P. The system has two configurations. The EBM-8000P/H is targeted for 16nm/14nm, while the EBM-8000P/M covers the 45nm to 20nm node.

“EBM-8000P/M has about twice the throughput as that of the legacy EBM-6000, while keeping the same accuracy specifications,” Yamada said. NuFlare is also expanding its maintenance/parts program for older e-beams.

Meanwhile, Applied Materials is the main supplier for laser pattern generators, which are called the Alta. “Only a few very critical layers use high-end e-beams. For most layers, they use the Alta for patterning,” Applied’s Wu said.

Applied’s Alta tool has been in the market several years. The company recently developed a new model and demand is strong for all nodes. “It’s a good business and customers really need it,” Wu said.

Inspection and repair
Generally, suppliers of mask inspection tools have kept up with the demands at mature nodes.

“Trailing node mask inspection equipment continues to be heavily utilized,” said James Westphal, director of marketing at KLA-Tencor. “We have been working with our customer base on best methods for maintaining older equipment given the challenges involved for aging inspection tools. This includes, but is not limited to, maintaining a trained service team, finding alternative parts suppliers when possible, and working together on strategies to move to newer—yet cost-effective—inspection equipment.”

KLA-Tencor continues to develop and manufacture new mask inspection tools for mature nodes. “Working with our customers, KLA-Tencor has developed new modes, new pixels, and new capabilities to meet sensitivity, scan time, and cost- of-ownership targets for non-leading edge requirements,” Westphal said.

KLA-Tencor also will refurbish older mask inspection equipment when possible. “However, given the high demand for and high utilization of older-generation mask inspection equipment, it is not a frequent occurrence,” he said.

Mask repair, meanwhile, is a different story. For years, mask shops used three mask repair technologies: laser, focused ion beam (FIB), and nanomachining.

That’s no longer the case. Laser-based repair tools hit the wall around the 100nm node. FIB scaled to about 50nm to 80nm.

Today, at advanced nodes, photomask shops primarily use two types of complementary mask repair technologies: electron-beam and nanomachining.

For mature nodes, though, repair is problematic. The hardest technologies to locate are the older laser and FIB tools. Many of the original suppliers are out of business. Some suppliers are still around, but they don’t support the older systems.

“The market for the larger design rules involves mask repair tools that are no longer supported by their OEM suppliers,” said Barry Hopkins, president and chief executive of Rave, a supplier of mask repair tools based on nanomachining. “Customers have requested that Rave develop a next-generation laser tool to replace both older laser and FIB repair systems.”

In response, Rave has developed a new laser repair tool. Rave also sells a refurbished nanomachine repair system that supports design rules down to 22nm.

To be sure, the mask industry faces several challenges. It continues to chase after the high end. Now, it’s beginning to deal with the demand at mature nodes.

It will keep the industry busy for some time. Vendors just hope to eke out a profit along the way.

 

Related Stories

200mm Fab Crunch

EUV Pellicle, Uptime And Resist Issues Continue