Wanted: Mask Equipment for Mature Nodes


Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment. The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are bu... » read more

Mask Maker Worries Grow


Photomasks are becoming more complex and expensive at each node, thereby creating a number of challenges on several fronts. For one thing, the features on the [getkc id="265" kc_name="photomask"] are becoming smaller and more complex at each node. Second, the number of masks per mask-set are increasing as a result of multiple patterning. Third, it costs more to build and equip a new mask fab... » read more

5 Disruptive Mask Technologies


Photomask complexity and costs are increasing at each node, thereby creating a number of challenges on several fronts. On one front, for example, traditional single-beam e-beam tools are struggling to keep up with mask complexity. As a result, the write times and costs continue to rise. Mask complexity also impacts the other parts of the tool flow, such as inspection, metrology and repair. I... » read more

Mask Repair Enters The Spotlight


By Mark LaPedus For years, the biggest challenges in photomask manufacturing have revolved around the slow write times for electron-beam tools and soaring mask inspection costs. Now, photomask repair, a sometimes forgotten technology in the mask shop, is in the spotlight and turning into the clash of the titans. Mask repair involves the process of finding defects on a photomask and repairin... » read more