5 Disruptive Mask Technologies

Photomask costs are rising with complexity at advanced nodes as existing technologies run out of steam.

popularity

Photomask complexity and costs are increasing at each node, thereby creating a number of challenges on several fronts.

On one front, for example, traditional single-beam e-beam tools are struggling to keep up with mask complexity. As a result, the write times and costs continue to rise. Mask complexity also impacts the other parts of the tool flow, such as inspection, metrology and repair. In addition, it’s becoming more difficult to handle the mask data.

And if that isn’t enough, the photomask industry is also preparing for the possible insertion of extreme ultraviolet (EUV) lithography. EUV requires a different and complex mask technology. And despite years of R&D, there are still gaps in the EUV mask infrastructure.

Still, there is hope. For some time, the industry has been working on new tool and EDA-like technologies to help combat the costs and complexity in mask production. Some technologies will appear in 2015. Others are still in the works.

Semiconductor Engineering, working with experts in the photomask community, has come up with five disruptive technologies that will reshape the mask landscape. In no particular order, the five technologies consist of the following items—multi-beam e-beam mask writers; multi-beam e-beam inspection; newfangled mask repair; model-based mask data preparation; and various components in the EUV mask infrastructure.

Multi-beam e-beam mask writers
Today, chipmakers are extending 193nm wavelength lithography far beyond what was once considered possible. To deal with the diffraction issues, mask makers must use reticle enhancement techniques (RETs) on the photomask.

One RET, called optical proximity correction (OPC), is used to modify the mask patterns to improve the printability on the wafer. OPC makes use of tiny assist features on the mask. And the features are getting smaller and more complex at each node. On top of that, mask makers are moving towards new RET-like technologies, such as source-mask optimization and inverse lithography. The mask, in turn, is moving from traditional square features to more complex curvilinear features.

The photomask also is becoming more complex in other respects. At 180nm, the average number of masks in a mask-set was 25. In comparison, a mask-set could have 70 masks in today’s leading-edge nodes. The maximum is about 100.

For years, the traditional single-beam e-beam has been used to write the critical patterns on the photomask. But as a result of mask complexity, the write times have increased by about 25% a year since 2011, according to Sematech. Today, for a mask, the average write time is between 15 to 20 hours. The maximum write time for a complex mask is over 50 hours. The write times, and costs, could become even more problematic in multiple patterning.

Simply put, the write times for single-beam e-beam tools are unable to keep pace. And in fact, single-beam e-beams could hit the wall at 7nm. The solution: multi-beam e-beam mask writers.

In 2015, photomask vendors could begin to make a gradual transition from single-beam e-beam tools to a new class of multi-beam mask writers. The newfangled tools consist of multiple beams, which speed up the throughputs in mask production. Multi-beam e-beam tools could also keep mask costs in check.

“It keeps the write times down to a few hours or to at least a half day, and not a full day or more as we are seeing with today’s single-beam e-beam systems,” said Elmar Platzgummer, chief executive of IMS Nanofabrication, a supplier of multi-beam e-beam tools for mask writing applications.

Today, the team of IMS and JEOL are readying the industry’s first multi-beam mask writers in the market. Targeted for 10nm/7nm, the tools combine IMS’ multi-beam technology with JEOL’s platform and stage. In addition, NuFlare, the world’s largest e-beam tool vendor, is also developing a multi-beam e-beam system.

Still, there are challenges for the multi-beam mask writers. These tools, which are still in beta-site testing, must prove they can do the job. And multi-beam won’t replace traditional e-beams overnight.

New mask repair technologies
Photomask repair is a key technology in the mask shop. It involves the process of repairing tiny defects on the mask. And the challenges are growing at each node. Today, mask shops primarily use two types of complementary mask repair tool technologies, e-beam and nanomachining.

This year there could be a third option—gas field ion source (GFIS) technology. GFIS represents a possible breakthrough, as the technology performs mask repair without damaging the substrate. In GFIS, helium and hydrogen gases penetrate the shallow regions of a structure and are scattered in the deep regions. Gallium gases and electrons are scattered in the shallow regions.

Time will tell if GFIS is the answer, however. SII NanoTechnology introduced GFIS-based mask repair technology in 2012. Not long ago, Hitachi High-Tech Science acquired SII NanoTechnology. Hitachi High-Tech is still developing GFIS, but the tools won’t ship until sometime later this year.

Model-based mask data preparation
Mask data preparation (MDP) is a critical part of the flow. In simple terms, the layout in a chip design comes in one format. The e-beam cannot read this format. MDP involves several processes. This includes the conversion of the IC design format into a machine-specific format.

For years, MDP has been a shape-based technology. “Basically, you got what you asked for on the mask and there was no manipulation required. The mask was also uniform. So it behaved very well,” said Aki Fujimura, chairman and chief executive of D2S. “Now, the mask shapes for the leading-edge nodes are at least 60nm, but they are often smaller. That kind of dimension is getting into an area where e-beam, as accurate as it is, isn’t accurate enough.”

In other words, there are some issues with the conventional MDP method, prompting the need for a new technology. “With (lines and spaces) below 50nm, the ability of each individual shape to print faithfully on the mask is impacted by its proximity to other shapes,” Fujimura said in a recent white paper. “The context for each shape on the mask becomes as important as the shape itself. The solution is to create and evaluate each shape within the context of its surroundings. This is why below 50nm (lines and spaces), simulation-based MDP and MV methodologies become critical.”

Simulation-based MDP is sometimes referred to as model-based mask data preparation (MB-MDP). “Now, people need to write things much smaller. It’s now context dependent. So now, it’s simulation-based. So to handle that, there is a lot of computational infrastructure that must be introduced in the mask shop. That’s a huge change,” he added.

Wanted: EUV mask inspection
In EUV, the power source remains the big stumbling block. In the photomask shop, there are also several gaps to enable EUV masks, namely EUV mask inspection.

Inspecting EUV masks presents several challenges. The EUV mask itself consists of 40 to 50 alternating layers of silicon and molybdenum on a glass substrate. The multi-layer stack serves as a mirror for EUV light. In contrast, today’s optical masks consist of an opaque layer of chrome on a glass substrate.

In 2009, Intel wanted an actinic-based mask inspection tool for EUV masks. Actinic-based inspection operates at 13.5nm, which is the same wavelength as EUV. Now, however, the industry is backing away from actinic mask inspection due to costs. For now, the industry could make do with today’s optical-based tools to inspect EUV masks.

But at some point, optical-based inspection may run out of gas, prompting the need for a new EUV mask inspection technology. The possible candidates include e-beam, multi-beam e-beam and projection e-beam. “DUV optical inspection is maybe the solution,” said Naoya Hayashi, research fellow at Dai Nippon Printing (DNP). “Electron-beam is too slow. Another candidate is multi-column e-beam, but that’s a long way off from moving into production.”

Hermes, Maglen, Sematech and others are developing multi-beam e-beam inspection technology. These tools are being developed for the traditional wafer inspection market. It’s unclear if these tools could ever be used for EUV mask inspection.

EUV mask infrastructure
There are other challenges in the EUV mask flow, namely masks, blanks, blank inspection, deposition and pellicles.

In the EUV mask flow, a vendor first produces a substrate, which is riddled with unwanted defects. The industry is working on substrate cleaning and polishing tools to solve the issues, although these technologies remain immature.

Then, the next step in the flow is to inspect the EUV mask blank. Lasertec is developing an actinic-based mask blank inspection tool, which will supposedly capture more defects. Lasertec hopes to ship the tool in late 2015.

Then, an ion beam deposition tool deposits alternating layers of silicon and molybdenum on the substrate. The problem is that unwanted particles tend to land on the mask during this process. Veeco, however, has recently solved many of these issues with its new ion deposition tool.

The next big hurdle is the pellicle. Today’s optical photomasks have a pellicle, which is a thin-film membrane that acts as a dust cover for the mask itself. At one time, the industry insisted that EUV did not require a pellicle. But now, the pellicle is essential. Without a pellicle, the EUV reticle is prone to particles and defects.

ASML is developing an EUV pellicle, which is still in R&D. ASML doesn’t want to remain in the pellicle business in the long term. It is looking for partners to commercialize the technology.

For now, most chipmakers are targeting EUV for 7nm, but it’s unclear if the technology will be ready by then. In fact, chipmakers are not only working on EUV, but they are also looking at extending 193nm immersion and multiple patterning to 7nm and perhaps beyond.

All told, mask making will remain a key part of the manufacturing flow. But mask makers will continue to face an assortment of challenges, if not headaches, for the foreseeable future.



Leave a Reply


(Note: This name will be displayed publicly)