Behind The Mask

What’s really happening in the photomask business, the impact of EUV and multipatterning, and what’s happening at different process nodes.

popularity

By Mark LaPedus
Semiconductor Manufacturing & Design sat down to discuss the current and future photomask manufacturing challenges with Franklin Kalk, executive vice president and chief technology officer at Toppan Photomasks, one of the world’s largest merchant mask makers.

SMD: The outlook for the photomask industry is for 2% growth in 2012. Do you agree with that?
Kalk: That’s probably about right. If you look back 10 to 20 years, the mask business did not follow the semiconductor business. The cycles did not exactly coincide. Typically, the mask business has seen more tempered cycles. In the past, the phases actually lagged the semiconductor industry. But as the supply chain tightened from the mid-1990s into the mid-2000s, the cycles coincided. So now, when semiconductors are up, masks are up. Right now, in semiconductors, things are a bit soft. In masks, you can say the same thing. Right now, I would not call it great, but it’s not horrible.

SMD: What are the trends for photomasks at the new nodes?
Kalk: In the mature nodes, you see a lot of activity. As you get to 130nm, there is still a lot of activity. In 90nm, there is a strong dip. There are not that many masks built at 90nm. At 65nm, it picks back up again; 45nm and 40nm are still ramping. 32nm and 28nm are just really starting to take off. Intel is manufacturing at 22nm. We are starting to see increased activity at 20nm. 14nm is really in development.

SMD: What are the trends in mask costs?
Kalk: There is a lot of misinformation about mask costs out there. If you take any node, the mask sets will start out at a very high price. But within a year or two, the price will drop to maybe one-half the introductory price. That’s because of yield learning and we start getting better utilization of our tool kit. And then there’s competition. So the prices will drop from completion.

SMD: How do the merchant mask makers prepare for a new node?
Kalk: We have to get our process ready about 18 months in advance of the wafer fab beginning its production ramp. We need a fixed process, meaning the OPC signature is set and the CD signature on the mask is set. During that 18-month period, we spend a lot of time doing optimization of defect quality and maybe improving the CDU. That hasn’t been done for 14nm. That kind of tells you 14nm is a couple of years out, for us anyway.

SMD: What is the demand mix for photomasks today?
Kalk: Historically, design activity in logic has been huge. Memory design activity has been relatively small. If you look at the total number of masks being produced for memory and logic, there is no comparison. In consumer applications, how many chips go into a cell phone? Only so many. The number we throw around is maybe 500 masks used to make an iPhone. But the number of types of chips is really not that big.

SMD: What are the lithography trends from a mask maker point of view?
Kalk: We have a history in this industry of pulling in design rules and pushing out technologies. For example, people talked about having 193nm lithography in 1999 and 0.18-micron technology in 2001. But in fact, what happened was the exact opposite. 0.18-micron was pulled into 1999 or so. And 193nm was pushed out into the early 2000s. 193nm didn’t come in until maybe 90nm. In other words, we push out these new technologies, because they are riskier and they tend to cost more to insert. Now, people are seeing continued delays in EUV. That’s a natural for us in the mask industry.

SMD: How do you envision complementary lithography playing a role in the mask business?
Kalk: Double-patterning is already in use. It will go to triple- or quadruple-patterning. I’ve seen numbers go higher than that. Certainly, 14nm will be multi-patterning. 10nm in all likelihood will be multi-patterning as the first solution. Even when EUV comes in, it will be in a multi-patterning environment. Let’s imagine the 10nm node. If you’re patterning with just 193nm, maybe you have a grating layer and several cut layers. The challenge of doing all of the cuts on a single mask is just too great at that node with 193nm. But if you do it with a single EUV mask, we may be looking at then replacing say two or three, or maybe more, 193nm immersion cut layers with a single EUV layer. But the original grating layer could be a 193nm layer.

SMD: What about multi-patterning?
Kalk: A lot of people talk about how multi-patterning is great for the mask maker, because there are more masks. But it’s not all that simple. You have materials, multiple writing steps and more time involved in the various processes. And it’s not very easy to make two masks cost the same as one mask.

SMD: What about mask inspection?
Kalk: Inspection has always been a high-cost module. That will probably remain the highest cost module.

SMD: Any progress with the resists?
Kalk: When you think of resolution, line-edge roughness, and resist speed, it’s gets really interesting. Today, our resists are at 15 to 20 microcoulomb-per-square-cm sensitivity. But if we’re going to meet the demand statement at 10nm, we’re probably going to need 70 to 100 microcoulomb-per-square-cm. So we’re going to need five times the dose, but maintain the current write times. We’re going to be hard pressed to do that unless a fairly radical change is made to the writing time.

SMD: What are your thoughts on EUV?
Kalk: Every disruptive technology has extreme advocates and extreme detractors. I’m neither. I’m a pragmatist. If EUV succeeds, I want to sell EUV masks. If it doesn’t, I’ll sell whatever is in its place. It’s going to come down to cost. I think the technical hurdles are considerable, but I think they can be overcome. It is going to come down to whether it is cheaper than the alternatives. Right now, the only alternative that is well developed is immersion and multi-patterning. Is EUV delayed past 10nm? I suppose I could see that happening. I guess it depends on the source development. That’s the driving force in EUV right now. It used to be the EUV mask was the big deal. Everyone worried about mask defectivity. But once the EUV source issues boiled to the top, everyone seems to have forgotten that we still have a mask to build.

SMD: What are the trends for EUV inspection tools? And will they go in the mask shop or elsewhere?
Kalk: You will need inspection, repair, clean and verification AIMS tools. Where do those go? Do they go in the wafer fab? For EUV, probably. Unless you have a mask fab that’s pretty close in proximity, it may be prudent to put a fair complement of tools in the wafer fab. The AIMS tool should be ready when high-volume EUV manufacturing is ready. The cleaning tool will be there. The repair tool will be there. For actinic inspection, it depends on how long EUV itself is delayed. Two or three years ago, we were thinking the EUV inspection tool would not be ready. But if EUV continues to see some delays, the inspection tool could be ready then. KLA-Tencor is predicting something like 2016 for the first tools.

SMD: To ensure that 450mm and EUV are on time, Intel, TSMC and Samsung recently invested in ASML. Are we seeing a new business model evolving in the equipment industry, where IC makers invest in fab tool vendors?
Kalk: I wouldn’t be surprised to see more of this in the near future. It’s not unheard of. Three years ago, both Dai Nippon Printing and Toppan Printing invested in (e-beam vendor) NuFlare. We each own about 8%-plus of NuFlare. Let’s say you have a 450mm fab at 10nm. For 450mm, you still need to have 193nm, 248nm, and a little bit of 365nm and maybe EUV. ASML has 193nm and 248nm platforms on 300mm, but they don’t have anything on 450mm. If they have to have three wavelengths on 450mm, they have to take everything and convert it to 450mm. It’s not just one machine, but it’s a whole family of equipment. It’s not trivial. It’s really difficult.

SMD: Any thoughts on directed self-assembly (DSA)?
Kalk: I think it has great potential. But if you look at where all of the papers are coming from, they are still out of universities and research labs like IBM. That’s great, but it shows you the state of the work. At some point, it has to transition into the commercial companies. You see a little bit out of TEL. The class of materials available is still relatively limited. It’s straightforward in that technology to make it one-dimensional. But in term of doing the cuts, this is more difficult. What’s interesting to me is if you look at multiple patterning, the grating layer is not the challenging layer. It’s the cut layers that are challenging. The hard stuff for DSA is the same as it is for standard 193nm immersion. Making the grating layer with 193nm is pretty well understood and doable. You can use spacer technology or spacer-spacer and get down to 10nm lines and spaces pretty easily. Is DSA solving a problem that has already been solved or not? If it can be done cheaper, then okay. Until it solves the randomness issue and cut layer, DSA will face the same problem everything else has.



Leave a Reply


(Note: This name will be displayed publicly)