EUV Challenges And Unknowns At 3nm and Below


The chip industry is preparing for the next phase of extreme ultraviolet (EUV) lithography at 3nm and beyond, but the challenges and unknowns continue to pile up. In R&D, vendors are working on an assortment of new EUV technologies, such as scanners, resists, and masks. These will be necessary to reach future process nodes, but they are more complex and expensive than the current EUV pro... » read more

Week In Review: Manufacturing, Test


Chipmakers Earlier this year, the semiconductor industry saw little merger and acquisition activity. More recently, though, there has been a flurry of deals. In July, ADI moved to acquire Maxim. Then, Nvidia announced plans to acquire Arm for $40 billion, followed by AMD’s proposed move to buy Xilinx for $35 billion. Not to be outdone, Marvell has announced plans to buy Inphi. Companies a... » read more

Week In Review: Manufacturing, Test


Chipmakers AMD and Xilinx have entered into a definitive agreement for AMD to acquire Xilinx in an all-stock transaction valued at $35 billion. With the proposed deal, AMD will enter the FPGA business, putting it further in competition with Intel. The transaction has been unanimously approved by the AMD and Xilinx boards. The transaction is expected to close by the end of calendar year 2021. U... » read more

Week In Review: Manufacturing, Test


Chipmakers and OEMs Intel is exiting the NAND flash market. SK Hynix and Intel announced that they have signed an agreement on Oct. 20, under which SK Hynix would acquire Intel’s NAND memory and storage business for $9 billion.The transaction includes the NAND SSD business, the NAND component and wafer business, and the Dalian NAND memory manufacturing facility in China. Intel will retain it... » read more

Week In Review: Manufacturing, Test


Trade As reported, the U.S. recently implemented more restrictions on U.S. chip sales to Huawei. In response, SEMI has released the following statement in response to the new export control rule changes announced by the U.S. Commerce Department: “SEMI recognizes the role of export control measures to address threats to U.S. national security. However, we are very concerned the new export ... » read more

Week In Review: Manufacturing, Test


Chipmakers TSMC posted mixed results in the quarter, although the news was generally positive. The foundry giant raised its capital spending plans. “Our second quarter business was sequentially flat, as the continued 5G infrastructure deployment and HPC-related product launches offset weaknesses in other platforms,” said Wendell Huang, vice president and CFO at TSMC. “Moving into third q... » read more

EUV’s Uncertain Future At 3nm And Below


Several foundries have moved extreme ultraviolet (EUV) lithography into production at both 7nm and 5nm, but now the industry is preparing for the next phase of the technology at 3nm and beyond. In R&D, the industry is developing new EUV scanners, masks and resists for the next nodes. 3nm is slated for 2022, followed by 2nm a year or two later. Nonetheless, it will require massive funding... » read more

Week In Review: Manufacturing, Test


Chipmakers Select foundries are beginning to ramp up their new 5nm processes with 3nm in R&D. There are already signs that the foundries have pushed out their 3nm production schedules. So, expect 7nm and 5nm to become long-running nodes. At 3nm, Samsung and TSMC are going in different directions. Samsung is developing a gate-all-around (GAA) technology called nanosheet FETs. TSMC will e... » read more

Week In Review: Manufacturing, Test


Chipmakers TSMC posted mixed results for the quarter, although there was a capital spending surprise. “It maintained its 2020 capex at $15B-$16B despite smartphone softness, primarily to support a strong 5nm ramp, led by demand from 5G and HPC customers,” said Weston Twigg, an analyst at KeyBanc, in a research note. “Despite lowering its industry outlook, TSMC still expects to grow its o... » read more

Week In Review: Manufacturing, Test


Chipmakers TrendForce has released its projected foundry rankings in terms of sales for the first quarter. TSMC is still in first place, followed by Samsung, GlobalFoundries and UMC. Samsung has been ramping up chips based on its 7nm logic process using extreme ultraviolet (EUV) lithography. Now, Samsung is ramping up its DRAM devices using EUV and plans to expand its capacity in the arena.... » read more

← Older posts Newer posts →