Week In Review: Manufacturing, Test


Chipmakers and OEMs Diamond semiconductor IP vendor AKHAN Semiconductor is cooperating with a U.S. federal investigation into alleged theft of its intellectual property by China’s Huawei. When AKHAN agreed to send its proprietary technology to Huawei pursuant to an agreement, AKHAN “believes that Huawei destroyed our product, shipped it to China without authorization, subjected it to tests... » read more

Manufacturing Bits: Feb. 5


Multi-beam litho shakeout The multi-beam e-beam market for lithography applications continues to undergo a shakeout amid technical roadblocks and other issues. Last week, ASML announced that it had acquired the intellectual-property (IP) assets of Mapper Lithography, a Dutch supplier of multi-beam e-beam tools for lithography applications that fell into bankruptcy late last year. As it t... » read more

Week In Review: Manufacturing, Test


Chipmakers and OEMs Taiwan specialty foundry vendor Vanguard International Semiconductor (VIS) will acquire GlobalFoundries’ Fab 3E facility in Singapore for $236 million. Fab 3E manages a monthly capacity of approximately 35,000 200mm wafers. The transaction includes buildings, facilities, and equipment, as well as IP associated with GF’s MEMS business. VIS currently has three 200mm fa... » read more

Manufacturing Bits: March 15


More multi-beam The multi-beam e-beam market is a hot topic. For example, Intel is quietly in the process of acquiring IMS Nanofabrication, a developer of multi-beam e-beam tools for mask writing applications. Meanwhile, at the recent SPIE Advanced Lithography conference, Mapper Lithography disclosed new upgrades for its multi-beam e-beam tool for use in direct-write lithography application... » read more

Manufacturing Bits: March 31


Shish kebab nano necklaces Using a directed self-assembly (DSA) process, Georgia Institute of Technology has developed a method to make nanometer-scale, chip-based necklaces. The technique could enable organic-inorganic structures, which resemble a tiny shish kebab or a centipede. The structures are made with various materials, such as semiconductors, magnetics, ferroelectrics and others. ... » read more

Challenges Mount For Patterning And Masks


Semiconductor Engineering sat down to discuss lithography and photomask trends with Uday Mitra, vice president and chief technology officer for the Etch Business Unit at [getentity id="22817" e_name="Applied Materials"]; Pawitter Mangat, senior manager and deputy director for EUV lithography at [getentity id="22819" comment="GlobalFoundries"]; Aki Fujimura, chief executive at [getentity id="228... » read more

The Week In Review: Manufacturing


Intel is in talks to buy Altera, according to The Wall Street Journal. If a deal is reached, Intel would enter the FPGA market amid a slowdown in its core processors business. Intel would also secure its largest foundry customer in Altera. For years, Altera’s sole foundry was TSMC. Then, not long ago, Altera selected Intel as its foundry partner for 14nm. TSMC still handles 20nm and above wor... » read more

5 Issues Under The SPIE Radar


As usual, the recent SPIE Advanced Lithography Conference was a busy, if not an overwhelming, event. At the event, there were endless presentations on the usual subjects, such as design, patterning, metrology and photoresists. And as in past years, one left the event with more questions than answers. At this year’s event, the most obvious question was (and still is) clear: Will extreme ult... » read more

More Lithography Options?


Lithographers face some tough decisions at 10nm and beyond. At these nodes, IC makers are still weighing the various patterning options. And to make it even more difficult, lithographers could soon have some new, and potentially disruptive, options on the table. On one front, the traditional next-generation lithography (NGL) technologies are finally making some noticeable progress. For examp... » read more

The Week In Review: Manufacturing


Has extreme ultraviolet (EUV) lithography finally turned the corner after numerous delays and setbacks? The big test for EUV could reside at TSMC. “TSMC ordered two new EUV tools (from ASML), and is expected to use four EUV tools in total (with the two new orders) for its 10nm process (likely one layer, ramping in 2016 or 2017). EUV remains far from ready for broad adoption, in our view, but ... » read more

← Older posts