Challenges Mount For Patterning And Masks

Experts at the table, part 2: What’s the current state of DSA, EUV, imprint, multi-beam and multi-patterning?

popularity

Semiconductor Engineering sat down to discuss lithography and photomask trends with Uday Mitra, vice president and chief technology officer for the Etch Business Unit at Applied Materials; Pawitter Mangat, senior manager and deputy director for EUV lithography at GlobalFoundries; Aki Fujimura, chief executive at D2S; Naoya Hayashi, a fellow at Dai Nippon Printing (DNP); and Mike Rieger, senior director of engineering at Synopsys. What follows are excerpts of that discussion.

SE: In part 1, we talked about EUV and other subjects. Let’s go back to EUV . What are the current challenges?

Mangat: 2014 was an excellent year. The source power is there, but it needs to improve now. It has to show reliability and availability. You have to show more than a burst for one day or two days. Now, we want to have weeks or months, something like what we did with immersion scanners. We have to start with 60% or 70% availability. By the time you get into production, you need to get 90% availability. We need to have those types of numbers by the end of this year. It’s not a question of days. You need to look at the 13 week average as the standard metric. And the higher the number, the better it is. If we target 70% availability and a 13 week running average on good wafers, not just garbage in and garbage out, the confidence level will be very high. And then people will invest more. But it’s wait and see right now.

SE: Any other issues?

Mangat: This year, from a confidence level, we need to show printability demonstrations at the resist doses that we think will be sustainable. In the last two years, in my view, the resists have not progressed much. We had a breakthrough two years ago. In the last two years, we have been sort of stagnant. Again, a lot of things have to happen. That involves the whole infrastructure. You have to look at your supply chain from blank qualification to mask making. EUV AIMS has to come into the picture. And, of course, customers want to see pellicles.

Mitra: There are a lot of other challenges. In mask etch, for example, because the EUV light is coming in at an angle, the profile must be perfect. And normally, in a regular mask, you can maybe have one or two degrees, which is acceptable. In EUV, at less than 0.5, it must be perfect at eight degrees. In addition, with EUV, you can solve some of the 7nm generation issues. You can do all of the cuts simultaneously. But EUV has its own edge placement problems, again because of the chief ray angle coming in.

SE: Let’s also go back to 193nm immersion. Will optical lithography work at 7nm and 5nm if the industry needs it?

Mangat: There are two things here. One, there is basic physics, the k1 factor and the limit at 193nm immersion. We all know that. The reason we are successful is because of pitch splitting, division multiplication and materials. The other thing is that we’ve mastered multiple patterning at 14nm. So people are used to it, but they also know you must worry about overlay, edge placement and different things. These are the reasons why people have confidence you can use optical at 10nm. 7nm will be challenging, but it is doable. If you bring EUV into the picture, it helps some for 7nm. But it is not a total solution for 7nm. Even for 5nm and 0.33 NA, EUV is not going to cut it. You need high NA EUV. That’s why ASML initiated the high NA discussion, because even they know the limit of EUV. Right now, 32nm half-pitch is basically the limit. But then, you can say you have to use double exposure, or double patterning, with EUV. And then you put pressure on the mask side. Does that make sense when the blanks are so costly and the infrastructure isn’t there?

Hayashi: It’s clear we can extend optical to 7nm with perhaps SAOP. For the cutting or the holes, it’s very challenging. For that, EUV and e-beam direct-write, or multi-beam e-beam, will help for the cutting, if these technologies become feasible.

Rieger: With optical and multiple patterning, you have so many different options. You could have three masks or more. Each one of them also has an option. So the combination of what somebody could pick for a particular layer is huge.

SE: So will optical lithography go on forever?

Mangat: So with the delay in EUV, 193nm immersion is filling the gap at 10nm. But I don’t think 193nm can go forever. So, 193nm won’t go forever unless designers come back with a new mindset about designing things differently that leverage what the process guys can do. For example, you must assess what the smallest fin you can get doing line and space. What’s the smallest contact you can do? What’s your tightest overlay? At 2nm, how do you design things with that kind of space? Line edge roughness and resists are also important. At that level, what kind of resist will be needed and how do we control it?

Fujimura: It certainly can’t go forever. For example, there’s a big question about 5nm.

Mangat: For now, there is not much choice at 5nm. You can put everything on the list–DSA, EUV and direct-write. The field is wide open. It will likely be a mix-and-match solution. For critical layers, you will need alternatives. For contacts and very critical holes and vias, you will need alternatives.

SE: What about directed self-assembly (DSA)?

Mitra: I think it will happen. Maybe from the memory side, it might be a little easier to insert it. And then ultimately, maybe logic. But this is a complementary solution. So, 193nm immersion will be used in some aspects of patterning for some time, such as line/space and pitch division. EUV will come in certain places. And probably, DSA will also come in. You will probably see a mix of different technologies coming in as we go forward.

Mangat: From a cost perspective, DSA seems attractive. Even the defect density numbers are improving. Then, there is the whole part of the integration of the design. How do you design your DSA layout reticle with the confidence that it will land where I want it to be? Not only that, but also the accuracy I want. I want to see the progress on that. We want it to be successful because of the cost proposition. But on the other hand, from the foundry perspective, the question is how do we get this into the integration flow? What are the constraints we have to worry about? If I have to do DSA with several layers of masks, is it still a cost or timing advantage? So for DSA, we want it and wish it happens. But I want to see the design progress to give me some confidence.

Fujimura: The problem with holes for DSA is the placement error. What’s required to precisely control where these things go is not just a guide pattern that is a rectangle. So what this requires then is to be able to print these guide patterns with curvilinear features. That, in turn, requires OPC that can handle that and masks that are curvilinear. So it’s going to take a whole infrastructure of things required to make that work perfectly.

Rieger: We, among others, have put together compact DSA models. The question is what kind of a guide pattern do you need? I also wonder when DSA becomes a reality, whether it will be that flexible. Can you tell a designer the following: ‘So, here’s the holes and go figure out the pattern.’ However, it will probably be more like this: ‘Here’s some pre-configurations of the holes that you can use. And that’s all you can use. And here’s the package of things or configurations that you can’t use.’

SE: What about nanoimprint? The technology is gaining some steam in NAND flash, but will it ever move into DRAM and logic?

Hayashi: There is still a defectivity and overlay learning curve. For nanoimprint, NAND flash is more flexible, compared to DRAM. The overlay accuracy is not so critical in NAND, compared to DRAM and logic. NAND flash is a good starting point for nanoimprint. In my personal opinion, we need a year or two of learning for other applications.

SE: What’s happening in multi-beam e-beam?

Fujimura: For multi-beam, mask writing will happen first. People believe it’s going to happen. It’s not in production yet. It’s a set of technologies that needs to grow and mature to be production-worthy. The fundamental physics are there. There is a lot of incentive to make it happen like EUV.

SE: IMS Nanofabrication is in beta-site testing with a multi-beam tool for mask writing. IMS has also talked about multi-beam for direct-write. Meanwhile, Mapper recently rolled out its multi-beam tool for direct-write. Any thoughts on the subject?

Fujimura: The folks at IMS did a very smart thing. Five years ago, they first decided to develop a tool for mask writing. From a business perspective, direct-write is much more attractive than the mask side. But they did a smart thing by focusing on the mask market first. And then, they can address the direct-write problem after they make money in mask writing. Meanwhile, Mapper and others are trying to do multi-beam for direct-write with a limited budget. Here again, money is the issue. If the direct-write community was funded to one-tenth of what EUV is funded at, they would be able to make it work. There just isn’t enough money going in that direction to see something imminent. On the other hand, the technology is scalable to very small dimensions. So it’s not too late. The strength of e-beam is depth of focus. The weakness of e-beam is that it takes time. Even if you have multi-beam, and have lots of different beamlets at the same time, it still takes time. Multi-beam for direct-write has many more challenges, as compared to mask writing.



Leave a Reply


(Note: This name will be displayed publicly)