Challenges Mount For Patterning And Masks


Semiconductor Engineering sat down to discuss [getkc id="80" comment="lithography"] and photomask trends with Uday Mitra, vice president and chief technology officer for the Etch Business Unit at [getentity id="22817" e_name="Applied Materials"]; Pawitter Mangat, senior manager and deputy director for EUV lithography at [getentity id="22819" comment="GlobalFoundries"]; Aki Fujimura, chief execu... » read more

Manufacturing Bits: March 31


Shish kebab nano necklaces Using a directed self-assembly (DSA) process, Georgia Institute of Technology has developed a method to make nanometer-scale, chip-based necklaces. The technique could enable organic-inorganic structures, which resemble a tiny shish kebab or a centipede. The structures are made with various materials, such as semiconductors, magnetics, ferroelectrics and others. ... » read more

Challenges Mount For Patterning And Masks


Semiconductor Engineering sat down to discuss lithography and photomask trends with Uday Mitra, vice president and chief technology officer for the Etch Business Unit at [getentity id="22817" e_name="Applied Materials"]; Pawitter Mangat, senior manager and deputy director for EUV lithography at [getentity id="22819" comment="GlobalFoundries"]; Aki Fujimura, chief executive at [getentity id="228... » read more

Manufacturing Bits: March 24


Mouse brains to multi-beam At the recent SPIE Advanced Lithography conference, Sematech provided an update on its multi-beam, e-beam inspection program. The goal is to develop a next-generation inspection tool, which could be faster than traditional e-beam inspection and could one day displace brightfield inspection. “Optical inspection is having trouble detecting particles that are small... » read more

Issues And Options At 5nm


While the foundries are ramping up their processes for the 16nm/14nm node, vendors are also busy developing technologies for 10nm and beyond. In fact, chipmakers are finalizing their 10nm process offerings, but they are still weighing the technology options for 7nm. And if that isn’t enough, IC makers are beginning to look at the options at 5nm and beyond. Today, chipmakers can see a p... » read more

More Lithography Options?


Lithographers face some tough decisions at 10nm and beyond. At these nodes, IC makers are still weighing the various patterning options. And to make it even more difficult, lithographers could soon have some new, and potentially disruptive, options on the table. On one front, the traditional next-generation lithography (NGL) technologies are finally making some noticeable progress. For examp... » read more

Manufacturing Bits: March 3


Nanoimprint consortium CEA-Leti has launched a nanoimprint lithography program in an effort to propel the technology in the marketplace. The imprint program, dubbed Inspire, will focus on various and emerging non-semiconductor applications, according to Laurent Pain, patterning program manager and business development manager within the Silicon Technologies division at the French R&D or... » read more

The Week In Review: Manufacturing


Has extreme ultraviolet (EUV) lithography finally turned the corner after numerous delays and setbacks? The big test for EUV could reside at TSMC. “TSMC ordered two new EUV tools (from ASML), and is expected to use four EUV tools in total (with the two new orders) for its 10nm process (likely one layer, ramping in 2016 or 2017). EUV remains far from ready for broad adoption, in our view, but ... » read more

Why Investments At Advanced Nodes Matter


Despite all the talk about rising costs of development, uncertainties about lithography and talk about the death of Moore’s Law, a record number of companies are developing chips at 16nm/14nm. That may sound surprising, but asking why that’s happening is probably the wrong question. The really critical question is what they’re going to do with those chips. What’s become quite evident... » read more

Survey: Optimism Up For Multi-beam


The eBeam Initiative announced the completion of its third annual survey. In one of the highlights of the survey, a majority (58%) of respondents predict that multi-beam technology will be used in production by 2016 to address the critical problem of mask write times as the industry moves to smaller geometries. And according to the survey, skepticism of EUV lithography also increased comp... » read more

← Older posts Newer posts →