Lam To Acquire KLA-Tencor


In a major and surprising move in the fab tool business, Lam Research has entered into a definitive agreement to acquire KLA-Tencor for about $10.6 billion in cash and stock. Lam’s proposed move to acquire KLA-Tencor would create a powerhouse in the fab tool industry. The combined company will have approximately $8.7 billion in annual revenue, propelling it to become the world’s second l... » read more

The Week In Review: Manufacturing


Is the sky falling in the IC equipment market? Not yet, but watch out below. Semi capital spending is expected to reach $60.37 billion in 2015, down 1% from 2014, according to Pacific Crest Securities. “Although we trimmed 2016 capex three weeks ago, we are trimming some more. We now see semiconductor capex down 4% in 2016. However, we do not see capex falling off a cliff in 2016 (i.e., down ... » read more

The Price Of Consolidation


Consolidation is causing far-reaching changes across the global semiconductor ecosystem due to the size of companies being bought and the dearth of startups to replenish those being acquired. Coupled with the rising cost and difficulty of shrinking features down to advanced process nodes—many argue that is the largest driver of consolidation—the market dynamics for who's buying IP, EDA t... » read more

ALD Market Heats Up


Amid the shift to 3D NAND, finFETs and other device architectures, the atomic layer deposition (ALD) market is heating up on several fronts. Applied Materials, for example, recently moved to shakeup the landscape by rolling out a new, high-throughput ALD tool. Generally, [getkc id="250" kc_name="ALD"] is a process that deposits materials layer-by-layer at the atomic level, enabling thin and ... » read more

The Week In Review: Manufacturing


Semicon West is always a busy week. Typically, there are a plethora of events going on during the week. It’s also a good week to get a pulse on the industry. The good news: Innovation is alive and well. Bad news: Intel cut its CapEx. And tool makers are in the midst of a lull right now, with a cloudy outlook projected for 2016. Some even see a dreaded downturn next year. Pacific Crest Secu... » read more

Dealing With Atoms


Chipmakers are ramping up a new range of device architectures, such as 3D NAND and finFETs. But to enable current and future devices, IC vendors will require new breakthroughs, including tools that can process tiny structures and films, even at the atomic level. The problem? There are gaps in terms of techniques that can process chips at the atomic level. Looking to help fill part of the ... » read more

Fab Tool M&A Slowdown?


The semiconductor industry is in the midst of a dizzying array of mergers and acquisitions. At the current pace, some 32% of all U.S. publicly traded semiconductor companies are projected to get acquired in 2015, according to FBR. But in reality, the M&A activity will slow and edge towards a 15% consolidation rate for the year, according to the firm. Still, the IC industry is bracing for... » read more

Can Copper Revolutionize Interconnects Again?


Electromigration and resistivity present serious obstacles to interconnect scaling, as previously discussed. In a copper damascene process, grain growth is constrained by the narrow trenches into which copper is deposited. As the grain size approaches the mean free path of electrons in copper, electron scattering at sidewalls and grain boundaries increases and resistivity jumps. Meanwhile, incr... » read more

The Week In Review: Manufacturing


In what was called a defensive measure by some, Intel has announced a definitive agreement to acquire Altera for $54 per share in an all-cash transaction valued at approximately $16.7 billion. Here’s what one analyst said about the deal. “We continue to believe Intel’s pursuit of Altera–at a significant premium–was based on a defensive position, rather than the purely accretive str... » read more

The Week In Review: Manufacturing


After several delays due to a myriad of complex regulatory issues, Applied Materials’ proposed deal to buy Tokyo Electron Ltd. (TEL) has been scrapped. Now, Applied Materials and TEL are separately re-grouping, and are back to where they originally started as competitors in the fab tool market. Applied Materials held a conference call to explain the situation with TEL. Applied Materials... » read more

← Older posts Newer posts →