Week In Review: Design, Low Power

AI-powered multiphysics analysis and optimization; Arm’s latest ISP; power integrity, ESD signoff; Renesas buys embedded AI company; Intel sponsors RISC-V supercomputing in Spain.

popularity

Edge, embedded, IoT

Renesas Electronics will acquire Reality Analytics, Inc. (Reality AI), a provider of embedded AI and TinyML solutions for advanced non-visual sensing in automotive, industrial, and commercial products. The inference-based AI solutions can be implemented across various endpoint AI applications. “Customers are increasingly demanding highly customized solutions involving embedded machine learning, signal processing, high-capability processors, and assistance with hardware integration and solution development,” said Stuart Feffer, CEO of Reality AI. “Having collaborated with Renesas for some time now, we are looking forward to being able to provide customers with more complete solutions – especially in the areas of IIoT, consumer and automotive products where use of machine learning is growing rapidly.” Reality AI was founded in 2016 and based in Columbia, Maryland, USA, where Renesas will continue operations. The all-cash transaction is expected to close by the end of 2022.

Flex Logix launched new platforms designed to speed development of edge computer vision products. The EasyVision platforms include the InferX edge AI accelerator along with ready-to-use models that are trained to perform the most common object detection capabilities such as hard-hat detection, people counting, face mask detection, and license plate recognition. “There is an explosive demand today for edge vision solutions that bring AI capabilities to a wide range of products of services – yet many companies lack the expertise or data science know-how to develop and train models and then integrate them with existing AI accelerators,” said Dana McCarty, vice president of sales and marketing for Flex Logix’s Inference Products. “With EasyVision, we are essentially providing an AI/ML ‘platform in a box’ that has the AI model already trained and ready to integrate into an existing application and it’s also been fine tuned to work with a hardware accelerator that is fast and accurate.”

Infineon Technologies introduced a security solution that provides a hardware anchor of trust used to connect IoT devices to the cloud at scale. OPTIGA Trust M Express enables hardware based security for IoT devices from manufacturing through cloud provisioning and field deployment. The cryptographic identity of OPTIGA Trust M Express is provisioned in a certified and secured Infineon fab and protected from exposure at any stage during the product lifetime. It can be paired with Infineon’s cloud service that automates IoT device certificate registration and the provisioning of the device in the product cloud.

Renesas Electronics debuted new motor control microprocessor units (MPUs) for applications such as AC servo drives and industrial robots. The RZ/T2M provides realtime motor control capabilities and industrial Ethernet on a single chip, while also supporting functional safety operation. “There is growing demand for factory automation and the deployment of industrial robots to boost productivity,” said Toshihide Tsuboi, Vice President of Industrial Automation Business Division at Renesas. “The RZ/T2M is capable of controlling the servo motors that drive robots at extremely high speed and with high precision. I anticipate that it will contribute to the development and widespread adoption of sophisticated industrial robots that can work safely alongside humans.”

Microchip Technology’s SoC FPGA that supports the RISC-V ISA has entered mass production. It targets low-power smart embedded vision applications and automotive, industrial automation, communications, defense, and IoT systems.

Tools & IP

Cadence unveiled a multi-disciplinary analysis and optimization (MDAO) solution for electronic systems. The Optimality Intelligent System Explorer uses similar AI technology as Cadence’s Cerebrus and includes in-design multiphysics system analysis utilizing the company’s tools for 3D electromagnetic analysis and high-speed signal integrity and power integrity analyses. Cadence says it can improve productivity by 10X on average when compared to manual, brute-force parametric table studies, with up to a 100X speedup realized on some designs. “For years, optimization at the system level has been extremely inefficient based on a human-intensive workflow of design/prototype/test/refine and eventual manufacturing,” said Ben Gu, vice president of R&D for the Multiphysics System Analysis Business Unit at Cadence. “With Optimality Explorer’s MDAO capability, it’s now possible to perform system-level optimization, from the IC to the package, the PCB and the system, in a fraction of the time and with Cadence’s signature gold-standard accuracy.” Ambarella, Baidu, MediaTek, and Microsoft noted using the solution. Additionally, Cadence noted that MediaTek and Renesas are utilizing the Cerebras AI-based solution for chip design optimization.

Arm revealed a new image signal processor (ISP). Arm says Mali-C55 is the company’s smallest and most configurable ISP. It offers multi-camera capability for up to 8 separate inputs, support for image resolutions up to 8K, and a maximum image size up to 48 megapixels. The ISP works under a wide range of different lighting and weather conditions, targeting area and power constrained applications such as smart camera and edge AI vision. It includes a software package for controlling the ISP, as well as a full set of tuning and calibration tools. Renesas has licensed the ISP.

Ansys integrated its power integrity and electrostatic discharge (ESD) reliability signoff products with its SeaScape big-data platform for distributed processing. Ansys said that Totem-SC delivers 10x faster power integrity analysis performance on average for dynamic voltage drop signoff of next generation designs like CMOS image sensors, DRAM, flash memories, FPGAs, and high-speed transceivers. PathFinder-SC verifies the circuitry that protects chips from ESD and damage from voltage spikes. The company said SeaScape technology allows PathFinder-SC to deliver 10x faster turnaround for ultra-large SoCs. Samsung Electronics noted using the new solutions.

Cadence also introduced the OnCloud SaaS and e-commerce platform powered by Amazon Web Services (AWS) for companies adopting a “cloud-first” approach for design and analysis solutions. The platform allows for instant purchasing and deployment of products and allows users to subscribe to their preferred consumption-based usage models depending on design needs and project duration. It is targeted for those with limited infrastructure or resources and offers support options, including self-help and a range of SaaS delivery options addressing global demands and peak usage.

Real Intent updated its reset domain crossing static sign-off tool, adding targeted RDC runs, complete waveform visualization, optimized low noise reporting, and confirmation that reset scenario specifications are consistent with simulation behavior.

Intrinsic ID debuted its SRAM Physical Unclonable Function (PUF) hardware security IP for Intel FPGAs. It comes pre-integrated as part of the security infrastructure of several Intel FPGA families. SRAM PUF root keys are only available, in volatile memory, when needed and not stored anywhere on the device. Keys and other sensitive data can be encrypted with PUF-derived keys that bind them physically to the device so that they cannot be copied or cloned. It targets Intel customers working on military, aerospace, and government applications.

HPC

The Barcelona Supercomputing Center – Centro Nacional de Supercomputación (BSC-CNS) and Intel will jointly set up a laboratory to develop a new generation of supercomputers using microprocessors based on RISC-V hardware, with the aim of driving development toward zettascale (1021 operations per second) compute. “We are very pleased that Intel has chosen BSC to create a research lab together that will be a world leader in chip design. One of the objectives will be for future European supercomputers, such as MareNostrum 6 within 5 years, and many others worldwide, to incorporate technology developed in this lab. In addition, the lab will help create a hub for new companies and jobs,” said Mateo Valero, director of BSC-CNS. The joint lab will receive up to €400 million (~$428.5 million) in investment over 10 years from Intel and the Spanish Government. The lab will be located in Campus Nord at the Polytechnic University of Catalonia.

Consumer electronics

CEVA announced a sensor hub MCU supporting sensor fusion for motion tracking, heading, and orientation detection. It combines CEVA’s MotionEngine sensor processing software with a low-power 32-bit Arm Cortex M23 MCU and targets consumer robotics and smart devices employing sensor fusion technologies, including XR glasses, 3D audio headsets, and 6-axis motion use cases.

Bluetooth SIG introduced its upcoming audio broadcasting capability called Auracast, formerly Audio Sharing. Auracast broadcast audio enables an audio transmitter, such as a smartphone, laptop, television, or public address system to broadcast audio to an unlimited number of nearby Bluetooth audio receivers, including speakers, earbuds, or hearing devices. The Bluetooth specifications that define the capability are part of the Bluetooth LE Audio specification suite and are expected to be released within the next few months.

DEKRA used Keysight Technologies’ test solutions to ensure that chipset and device vendors can validate the universal European emergency number E112 caller location functionality. The collaboration leverages location-based services and global navigation satellite system technologies to verify E112 regulatory test cases used to validate mobile phones sold into the European market.

STMicroelectronics uncorked the second generation of its Time-of-Flight (ToF) ranging sensor for smart-phone camera management and augmented/virtual reality applications. It provides up to 4m ranging in all zones indoors and reduces power consumption by half compared to the previous-generation device, when operating in common conditions. The sensor utilizes Metalenz’s planar metasurface optics that can be manufactured on silicon wafers alongside electronics.

Socionext debuted radio-wave ranging sensors that use the 60GHz band with a built-in signal processing circuit for detecting position and movement for applications such as tracking human movements and operation of devices by gestures.

Automotive

Infineon Technologies and Pmdtechnologies teamed up to develop the second generation of the REAL3 ISO26262-complient high resolution automotive 3D image sensor. The sensor comes in a 9 x 9 mm² plastic BGA package and offers a VGA system resolution of 640 x 480 pixels with a tiny image circle of 4 mm. This allows lens sizes similar to smartphones for automotive applications. The sensor is also suitable for camera applications with a wide field of view, such as complete front-row occupant monitoring systems. The resulting 3D body models enable accurate estimates of occupant size and weight, as well as passenger and seat position data, for intelligent airbag deployment and restraint systems.

Read more

Catch up on what’s been happening in the Manufacturing, Test and Auto, Security, Pervasive Computing spaces this week.

Find out if analog can make a comeback in the latest Low Power-High Performance newsletter. Plus, read why thermal issues in DRAM are reaching a crisis point and whether the IP industry is ready to undergo a transformation. The latest Systems & Design newsletter digs into processor optimization, a possible silver lining in the semi talent crunch, disaggregation, whether AI-powered verification will solve anything, chiplet benefits, and embedded software complexity.



Leave a Reply


(Note: This name will be displayed publicly)