Author's Latest Posts


eBeam Initiative Marks Major Milestones Over 15 Years Of Photomasks And Lithography


The eBeam initiative celebrated its 15th anniversary at the recent SPIE Advanced Lithography + Patterning Conference. 130 members of the mask and lithography community attended the annual lunch to mark the milestone. The eBeam Initiative welcomed its 53rd member, FUJIFILM Corporation, having grown from 20 members and advisors at its launch. FUJIFILM is the first company from the chemical supply... » read more

Industry Luminaries Highlight Opportunities For Advancing The Non-EUV Leading Edge


The eBeam Initiative’s 12th annual Luminaries survey in 2023 reported a range of nodes from >5nm to 14nm as the most advanced non-EUV nodes using 193i lithography. A panel of semiconductor photomask and lithography experts debated several of the survey results, including this one, to provide more insights behind the results. Aki Fujimura, CEO of D2S, Inc., the managing company sponsor of t... » read more

Center For Deep Learning In Electronics Manufacturing: Bringing Deep Learning To Production For Photomask Manufacturing


The Center for Deep Learning in Electronics Manufacturing (CDLe) was formed as an alliance between D2S, Mycronic and NuFlare Technology in autumn 2018. Assignees from each alliance partner worked with deep learning (DL) experts under the leadership of Ajay Baranwal, director of CDLe. The CDLe’s mission was to 1) turn DL into a core competency inside each of the companies and 2) do DL projects... » read more

Why Curvy Design Now? Less Change Than You Think And Manufacturable Today


A curvilinear (curvy) chip, if magically made possible, would be smaller, faster, and use less power. Magic is no longer needed on the manufacturing side, as companies like Micron Technology are making photomasks with curvy shapes using state-of-the-art multi-beam mask writers today. Yet the entire chip-design infrastructure is based on the Manhattan assumption of 90-degree turns, even though i... » read more

Why Curvy Design Now? Manufacturing Is Possible And Scaling Needs It


Have you ever seen roots or tree branches take a 90-degree turn? Have you ever seen a river that takes a 90-degree turn? Nature doesn’t do 90-degree turns, or for that matter any sharp angle turns – not even 135 degrees. Yet the entire chip-design infrastructure is based on the Manhattan assumption of 90-degree turns. While it would take time to change, is there any doubt that a curvilinea... » read more

Multi-Beam Mask Writers Are A Game Changer


The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported strong purchasing predictions for multi-beam mask writers, enabling both EUV and curvilinear photomask growth. A panel of experts debated remaining barriers to curvilinear photomask adoption during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 compan... » read more

High-NA EUV Complicates EUV Photomask Future


The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported EUV fueling growth of the semiconductor photomask industry while a panel of experts cited a number of complications in moving to High-NA EUV during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 companies from across the semiconductor ecosystem partic... » read more

For The Love Of Theatre And Mask-Making


Naoya Hayashi has been a friend and important contributor to the eBeam Initiative from our start over 13 years ago. We’re just one of the many interests he has embraced and championed over his 45 year career at DNP. Now it’s our turn to embrace him and thank him for the wonderful memories as he pursues his next chapter after retiring as the first research fellow from DNP this June. Aki Fuji... » read more

The Changing Mask Landscape


Semiconductor photomasks have undergone some major technology changes in the past few years after relatively minor changes for many years. New technologies such as multi-beam mask writers and extreme ultraviolet (EUV) lithography are major breakthroughs as they ramp into high-volume manufacturing. A new trend related to these technologies is the use of curvilinear features on photomasks. Aki... » read more

Curvilinear Photomasks Can Be Made Today


Multi-beam mask writers (MBMWs) and GPU-accelerated curvilinear ILT are enabling curvilinear photomasks to be made today. Despite the benefits of improved process windows, curvilinear photomask adoption is slow. Industry luminaries surveyed by the eBeam Initiative in 2021 ranked photomask inspection and infrastructure as the top barriers to adoption, as shown in figure 1. Yet only 4% say the b... » read more

← Older posts