Will There Be Enough Silicon Wafers?

Market pressures shrink number of providers of basic building blocks for chips.

popularity

The silicon wafer industry, a critical part of the IC supply chain, is undergoing a new and perhaps alarming wave of merger and acquisition activity.

While consolidation in this sector is not new, the pace of M&A activity is picking up and there are fewer companies left. Silicon wafer makers produce and sell raw silicon wafers to chipmakers, which process them into chips. But despite continued growth in semiconductor shipments, this remains a highly competitive market segment with severe pricing pressure.

In August, Taiwan-based GlobalWafers entered into a definitive agreement to acquire SunEdison Semiconductor, the last major U.S.-based silicon wafer maker, for $683 million. SunEdison Semiconductor, formerly known as MEMC, has fallen on hard times amid competitive pressures in the industry.

It’s still unclear if GlobalWafers’ proposed move to acquire SunEdison Semiconductor will happen. The transaction is still pending and is going through the regulatory process in Taiwan, the United States, and elsewhere. If the deal is approved, the combined entity of GlobalWafers and SunEdison Semi would become the world’s third largest silicon wafer maker with 17% market share based on 2015 figures, behind two large Japanese companies—Shin-Etsu (27%) and Sumco (26%), according to Summit Redstone Partners.

The combined entity also would leapfrog Germany’s Siltronic, the world’s third largest supplier with 13% share in 2015, according to Summit Redstone Partners. In the rankings, SunEdison Semiconductor was fourth with 10% share, followed by Korea’s LG Siltron (9%), GlobalWafers (7%) and France’s Soitec (3%), according to the firm.

And with the proposed acquisition of SunEdison Semiconductor, GlobalWafers would not only expand its efforts in 300mm, but it would gain an entry into the silicon-on-insulator (SOI) wafer business. SunEdison Semiconductor is a large supplier of SOI wafers for RF and fully depleted SOI (FD-SOI) chips. Its SOI wafer customers include GlobalFoundries and Samsung.

Silicon wafer customers should keep a close eye on this transaction, as well as this market in general, because the silicon wafer industry is ripe for more consolidation. “Don’t be surprised to see both Siltronic and LG Siltron sold,” said Richard Winegarner, president of Sage Concepts, a market research firm. “Western companies are discouraged by lack of profit in semiconductor wafers, and China is eager to learn how to make them.”

To be sure, though, consolidation is needed in the industry. “We view industry consolidation to be positive, as it should enable stable pricing with rational supply addition,” said Jagadish Iyer, an analyst with Summit Redstone Partners.

Wafer madness
Meanwhile, silicon wafers are a fundamental part of the semiconductor business—every chipmaker needs to buy them in one size or another. In the silicon wafer production flow, the process starts with polysilicon. Polysilicon is melted in a quartz crucible along with electrically active elements.

A silicon seed crystal is lowered into the crucible. The resulting body is called an ingot, which is pulled and sliced into wafers. The sizes include 300mm, 200mm and smaller.

The process is challenging, but vendors rarely reap the benefits or the profits. In fact, over the past 20 years, the silicon wafer industry has consolidated from more than 20 suppliers in the 1990s to only five or so large players today.

Consolidation swept the industry for several reasons. For one thing, it requires a significant amount of capital to compete in the business. The smaller players are unable to keep up and many of them end up being acquired.

But the biggest problem is that the silicon wafer industry has suffered from a period of excess capacity, price pressures and low margins. Only a few vendors have been profitable over the years. In fact, average selling prices (ASPs) for silicon wafers have decreased from $1.04 per square inch in 2009 to $0.76 per square inch in 2015, according to Sage Concepts.

Today, 300mm wafers account for 60% of area sold, while 200mm represents 31%, according to Sage. The remaining output is 150mm and smaller sizes.

installed-capacities-200mm-fabs
Fig. 1. Installed capacity of 200mm wafers. Source: SEMI

In total, 300mm silicon wafer capacity grew from 43 million wafers per year in 2009 to 76 million wafers in 2015, according to the firm. “In 2015, the semiconductor industry had the capacity to consume 76 million wafers per year, but only consumed 57 million wafers,” Sage Concept’s Winegarner said. “So, there is enough wafer supply to supply every fab in existence if they were to operate at 100% of their capacity. However, these fabs only operated at 74.6% capacity in 2015.”

So there is no need to add any new capacity. On the contrary, the trend among vendors has been to shutter under-utilized or older plants to cut costs.

screen-shot-2016-10-18-at-9-08-00-am
Fig. 2. Estimated 300mm demand. Source: SUMCO.

Fortunately, though, the silicon wafer industry is recovering after hitting rock bottom in the fourth quarter of 2015. “Semiconductor volumes were really bad in 2015,” said Iyer of Summit Redstone Partners. “It has recovered reasonably well in the first half of 2016 after foundry utilization started to pick up, particularly at TSMC.”

Others see a similar picture. “Wafer prices on a per-square inch basis were at an all-time low last year even as volumes reached an all-time high,” said Damian Thong, an analyst at Macquarie Securities. “The market has been recovering in volume terms since 1Q ’16, but ASPs have yet to recover after a decline around 4Q ’15.”

The biggest challenge, according to Thong, has been the inability to raise prices despite sustained demand growth. “Wafer vendors need to convince buyers to accept price increases,” he said. “This is a struggle. In a time of strong profitability for chipmakers, only an outright shortage may succeed to allow wafer producers to claw back their fair share of value.”

In total, the silicon wafer market is projected to reach around $7 billion in 2016, down 1% from 2015, according to Macquarie. Total shipments are projected to reach over 10,800 million square inches in 2016, up slightly over the previous year, according to the firm.

Still, there are some positive signs in the industry. “Supply-demand is very much in balance, and more in balance than it has been in a long time,” said Shaker Sadasivam, chief executive of SunEdison Semiconductor, in a recent conference call.

The 300mm silicon wafer market is picking up amid a demand uptick for logic and memory products. Plus, the 200mm wafer market remains relatively robust. “300mm has more competition,” said William Chen, a vice president at GlobalWafers. “There are more customers in 200mm than 300mm. There is also not too much excess capacity (in 200mm).”

In fact, a surge in demand for select chips has created a shortage in 200mm fab capacity. This is driven by various applications, such as automotive, consumer and IoT. “In a lot of those IoT and automotive markets, (the processes) span a number of different technologies,” said Walter Ng, vice president of business management at UMC. “We see applications in those markets going anywhere from 28nm, to 130nm, and to 180nm. We’re seeing a lot more demand for capacity at 55nm/40nm.”

One way to gauge the demand for both 200mm and 300mm wafers is to look at the used equipment market. Chipmakers tend to buy used tools when there is a sudden demand for capacity. On that front, there has been a shortage of 200mm equipment.

“We wouldn’t say that 300mm demand has been down, but there is more 300mm equipment available when compared to 200mm equipment,” said Wendell Isom, vice president and general manager of Reliant Systems in the Customer Support Business Group at Lam Research. “As the realization of IoT and ‘more than Moore’ extend fab productivity, there is still a shortage in 200mm equipment. 200mm fab closures are extremely rare and any mainstream surplus equipment is quickly absorbed. As this market heats up, 200mm fabs need to make sure they continue to have the leading-edge technology and productivity enhancements.”

Summarizing the situation, John Cummings, managing director of marketing and business development at Applied Materials, said: “People will stay on 200mm in a large way. “The ‘more than Moore’ technology base is large and a growing market. It’s not dead.”

More consolidation?
Despite the growth in some segments, the silicon wafer market will remain a tough business for the foreseeable future, thereby fueling what could be a new round of consolidation in the arena. Earlier this year, for example, SunEdison Semiconductor announced it was looking for “strategic alternatives” or the sale of the company amid a string of losses.

It was no surprise the company was looking for a buyer. But the big surprise is that the company received a bid from GlobalWafers, a relative unknown in the industry.

Nonetheless, analysts are bullish about the potential deal between SunEdison Semi and GlobalWafers. “We see this $1.2 billion-plus revenue company to now have a balanced geographical customer footprint with presence at all major semiconductor manufacturers,” said Iyer of Summit Redstone Partners.

The proposed deal is significant for other reasons. If it goes through, the silicon wafer industry would no longer have a major U.S.-based supplier.

But does it really matter? “No one seems concerned about U.S. wafer manufacturing capability,” Sage Concept’s Winegarner said. “In total, less than 10% of the world’s wafer manufacturing is done in the U.S. Wafer production, like semiconductor fabrication, is mainly done in Asia.”

In addition, there are some symbolic reasons why the deal is important. SunEdison Semiconductor is one of the pioneers in the business. Established in 1959, the company was originally part of Monsanto and known as MEMC.

In 1989, a German company acquired MEMC. Then, after a series of transactions, MEMC reemerged as a U.S.-based company and acquired solar provider SunEdison in 2009. Then, in 2013, MEMC changed its name to SunEdison.

Two years ago, SunEdison spun off its silicon wafer business to focus on solar. The silicon wafer business became SunEdison Semiconductor. But recently, the solar company, SunEdison, fell into bankruptcy after several missteps.

Meanwhile, GlobalWafers was once part of Sino-American Silicon (SAS), a Taiwan wafer maker. In 2011, SAS spun out its silicon wafer business into a new company called GlobalWafers.

At the time, SAS acquired Covalent Materials, a silicon wafer vendor, from Toshiba. Covalent was folded into GlobalWafers. Then, in 2016, GlobalWafers acquired another silicon wafer maker called Topsil, followed by its proposed deal with SunEdison Semi.

Going forward, the industry is expected to see more acquisition activity. The next wave of suitors could come from China. “The Chinese government has announced aggressive spending plans for the domestic semiconductor industry,” said Takashi Ogawa, an analyst with Gartner. “China is interested in the semiconductor silicon market, especially for larger size wafers.”

Technology types
The shakeup in the market means that silicon wafer buyers must keep close tabs on the technology as well as the supply base. There are several types of silicon wafers, including anneal, epitaxial, polished and SOI.

The SOI wafer market is particularly worth watching. GlobalWafers’ proposed deal with SunEdison Semi will propel the Taiwan company into the SOI wafer business. Basically, an SOI substrate consists of an ultra-thin layer of silicon that sits on top of a buried oxide. The insulating layer suppresses the leakage in a device.

SOI wafers are used in digital, power and RF applications. On the digital side, the industry has been ramping up a planar technology called FD-SOI.

FD-SOI is an alternative to chips based on bulk silicon, including 28nm planar and finFETs. “(FD-SOI fills) a gap in the industry’s roadmap by providing an alternative path for the next generation of connected intelligent systems,” said Sanjay Jha, chief executive of GlobalFoundries.

There are two issues with SOI wafers–cost and the supply chain. Today, there are several SOI wafer suppliers—Shin-Etsu, Soitec, Sumco and SunEdison Semi.

Even with the proposed GlobalWafers-SunEdison Semi deal, the SOI wafer supply chain should remain stable. “We do not see any concerns at this point,” said Kelvin Low, director of foundry marketing at Samsung, a provider of FD-SOI foundry services.

Cost has been a concern in this slice of the market. “Wafer manufacturers have been working on the cost/quality challenge in SOI for many years,” said David Fried, chief technology officer at Coventor. “The main quality challenges are the thickness uniformity of the device layer and defectivity, both in the device layer and below. For FD-SOI, the device layer uniformity becomes even more challenging due to the target nominal thickness of this layer and the device implications of variation. I am quite sure the state-of-the-art in SOI wafer manufacturing has advanced to address these issues.

“That said, the cost of SOI has always been a headline issue,” Fried said. “I believe this is a mistake. SOI technology has some significant cost-reducing process advantages. For example, it has a simpler STI. That can easily wipe out any wafer cost differential with bulk.”

Others agree. “Scale will be the key word,” Samsung’s Low said. “As production ramps up for FD-SOI, we are expecting the overall cost of the substrate will improve.”

In total, the SOI wafer business is relatively small. Polished and epitaxial wafers represent the largest markets for silicon wafers makers.

Polished wafers are used for memory. These require ultrapure substrates with flat and clean surfaces. Meanwhile, epitaxial wafers, which consist of an epi layer grown on the substrate, are used for logic devices and others.

Anneal-based wafers also are gaining steam. “The manufacturing costs for anneal wafers are lower than epi,” GlobalWafers’ Chen said. “So, anneal wafers have lower ASPs than epi.”

Generally, epi wafers are processed in single-wafer tools. In the anneal process, though, some 30 polished wafers are placed in a batch furnace at one time. “It is based on a batch process,” Chen said. “So you can get a much lower unit cost.”

To be sure, the silicon wafer industry is critical. And it remains in flux—hopefully for the better.

Related Stories
200mm Equipment Shortfall
Older equipment is now very much in demand due to shifts in end markets and new options for packaging.
Rise Of The Old Fab
Why big equipment makers are giving established nodes a second look.



3 comments

Patrick Wise says:

While this article was an interesting and informative read, given the headline “Will There Be Enough Silicon Wafers?”, it would have been sufficient for the body of the article to simply be: “Yes”.

Mark LaPedus says:

Hi Patrick,
Good point. On the other hand, chipmakers must pay close attention to their respective supply chains (i.e. mask suppliers, silicon wafer suppliers, gas vendors, etc.). Otherwise, they could run into a number of problems. This is nothing new. Regarding silicon wafers, chipmakers need to keep close tabs on their suppliers. Besides the M&A activity and technical considerations,
there are several other factors involved.

For example, in 2011, Japan was struck by a major earthquake and tsunami. SEH’s Shirakawa Plant in Japan was hit by the quake and was out of action for some time. At the time, the plant produced a large share of the world’s 300mm silicon wafers, possibly 20%. When the factory went down, chipmakers went into a panic-buying
mode for 300mm silicon wafers. http://semiengineering.com/450mm-silicon-wafer-issues-emerge/

Earlier this year, Japan was hit by another earthquake. The Kumamota earthquake impacted Sumco’s
silicon wafer facility to some degree. http://v4.eir-parts.net/v4Contents/View.aspx?cat=tdnet&sid=1346914

All told, it’s worth exploring the silicon wafer industry from time to time. It’s a key part of the supply chain that gets little attention. And the vendors are also somewhat reluctant to talk about it.

CdrFrancis Leo says:

with advent of AI the demand for IC is likely to double in future

Leave a Reply


(Note: This name will be displayed publicly)