Author's Latest Posts


Full Wafer OCD Metrology


Authored by: Daniel Doutt*a, Ping-ju Chena, Bhargava Ravooria, Tuyen K. Trana, Eitan Rothsteinb, Nir Kampelb, Lilach Tamamb, Effi Aboodyb, Avron Gerb, Harindra Vedalac ABSTRACT Optical Critical Dimension (OCD) spectroscopy is a reliable, non-destructive, and high-throughput measurement technique for metrology and process control that is widely used in semiconductor fabrication facilities (f... » read more

Detection Of Contaminants In Positive And Negative Ion Mode Using In-line SIMS With An Oxygen Primary Ion Beam


Utilizing Secondary Ion Mass Spectrometry (SIMS) for in-line metrology is a newly emerging method of process control that requires contamination-free measurements, enabling SIMS on product wafers. SIMS measurements of negative ions are usually associated with a Cesium primary ion beam. Unfortunately, when Cesium is present in Silicon, it forms trap states in the Si band gap, which can cause ser... » read more

Epi SiGe Application Using METRION In-Line SIMS System


The epitaxial process is a well-established deposition technique in semiconductor fabrication because it enables the ability to achieve much higher doping concentrations than can be obtained via ion implantation. As we move toward <5nm technology, a key process for enabling gate-all-around FET (GAAFET) is the stacked multi-lattice of Silicon (Si) and Silicon-germanium (SiGe) epi process for ... » read more

Ion Implantation Applications For In-Line SIMS Metrology


In the semiconductor industry, ion implantation process has expanded to a wide range of applications with doses and energies spanning several orders of magnitude. Ion implantation is a very complicated process with many parameters and factors that affect the implant profile. For example, shadowing effects from higher aspect ratio of photoresist opening, ion channeling or de-channeling effect... » read more

Scatterometry-Based Methodologies For Characterization Of MRAM Technology


Magnetoresistive random-access memory (MRAM) technology and recent developments in fabrication processes have shown it to be compatible with Si-based complementary metal oxide semiconductor (CMOS) technologies. The perpendicular spin transfer torque MRAM (STT-MRAM) configuration opened up opportunities for an ultra-dense MRAM evolution and was most widely adapted for its scalability. Insertion ... » read more

Nova METRION Use Cases


Several use cases that we will explore for the Nova METRION® system include contamination control, process excursion prevention, reactor matching, and uniformity control. The objectives of these use cases are to detect contaminants which can kill devices, improve barrier layer and source/drain function, maintain deposition uniformity that impacts downstream processes, and ensure wafer-to-wafer... » read more

Metrology Of Thin Resist For High NA EUVL


One of the many constrains of high numerical aperture extreme ultraviolet lithography (High NA EUVL) is related to resist thickness. In fact, one of the consequences of moving from current 0.33NA to 0.55NA (high NA) is the depth of focus (DOF) reduction. In addition, as the resist feature lines shrink down to 8nm half pitch, it is essential to limit the aspect ratio to avoid pattern collapse. T... » read more