Bringing Curvilinear Data To Mask Data Prep

A new file format provides the ability to define parametric curves for more accurate representation of curvilinear shapes.

popularity

Advanced nodes that have been leveraging curvilinear correction with technologies such as ILT and curvilinear OPC are increasingly requiring the use of curvilinear masks to meet advanced feature size and pitch requirements. However, building curvilinear masks with standard OASIS file formats can come at the cost of large file sizes, increased turnaround time, and reduced quality of results. The industry adoption of the MULTIGON in the P49 OASIS format can reduce the impact of curvilinear data handling for mask shops and manufacturers.

In this blog we will discuss curvilinear checking and fracture requirements for the MULTIGON era.

Curvilinear handling before MULTIGON

Before MULTIGON, curves were represented in a piecewise linear polygonal format consisting of numerous small edges that approximate the desired shape. Mask rule checking software, such as Synopsys SmartMRC, has invested heavily in innovative solutions to support the most complex curvilinear patterns as accurately as possible. Curvilinear space and width checks, curvature checks, and oval mask rule checking are a few examples of the solutions built to handle curvilinear requirements.

Fig. 1: Available curvilinear mask rule checks.

The introduction of MULTIGON, and what it means

The SEMI P49 specification for OASIS includes a new curvilinear format for MULTIGON, which EDA vendors such as Synopsys are supporting in OPC/ILT applications. In addition to the piecewise linear representation available in earlier formats (shown in figure 2), P49 OASIS provides the ability to define parametric curves, such as cubic Bezier curves. Figure 3 shows an explicit cubic Bezier curve, where P0 and P3 are considered vertex points on the shape, and P1 and P2 are control points on the curve.

Fig. 2: Piecewise linear.

Fig. 3: Cubic Bezier curves.

By using control points on vertices, the MULTIGON representation more accurately defines the intended curvilinear shape, with a smaller number of points and more accurate fidelity than traditional polygonal piecewise linear.

Curvilinear formats for mask rule checking and mask data prep

When performing mask rule checks on OASIS files containing MULTIGON, all the SmartMRC curvilinear checking functionality used prior is available, requiring no change to existing rule checking recipes.

A significant area of focus and benefit of the format is also seen in the mask fracture. Multibeam mask writers can write curvilinear shapes with very high fidelity in the minimum amount of time. As such, it is important to ensure that mask data fracture preparation for mask writing be accurate, and minimize turnaround time, which can be accomplished by leveraging MULTIGON data. Many operations, such as sizing, are efficiently handled in the MULTIGON domain as it includes moving a handful of vertices while maintaining pattern fidelity, as opposed to moving a large quantity of points in a piecewise linear size operation.

Fig. 4: MULTIGON sizing.

The next generation of mask data prep software from Synopsys will leverage this new native curvilinear format. With leading expertise in curvilinear correction and curvilinear rule checking, there are several new challenges that are faced when implementing curvilinear fracture technology:

  • Handling and optimizing the fracture for the different types of cubic Bezier representations. For example, more control points are required for explicit Bezier over implicit Bezier. There are advantages and disadvantages to each which will require unique optimization to reduce file size and maintain accuracy. Since the primary source of mask data is from correction tools, creating the optimal representation will require curvilinear correction solutions to handle data efficiently as possible.
  • Reducing file size during the Boolean and fracture process. Operations such as fracture and Boolean operations create new points on the MULTIGON shape. These points should be carefully considered, as creating excessive vertices will significantly impact file size and turnaround time.

Conclusion

Synopsys has been a leader in curvilinear data handling for OPC, ILT, mask rule checking, and has extended the technology to the mask data prep domain. For more information, Synopsys will be presenting additional information on this topic and others at SPIE Photomask Technology in late September, or feel free to reach out to us directly.



Leave a Reply


(Note: This name will be displayed publicly)