European Mask And Lithography Conference 2024 Worth Attending

Key trends included curvilinear design, AI in inspection, and multi-beam mask writers.

popularity

The European Mask and Lithography Conference (EMLC) 2024 recently was held in Grenoble, France, and had about 190 participants from a wide range of companies and institutions. Being relatively new to the field of lithography (my background is EDA, machine learning, optimization) and not being a fan of gigantic conferences, I thought it would be a good idea to visit this conference. My main purpose was to get a better overview about what people are talking about and have some discussions with participants in a more relaxed atmosphere. It turned out that this was absolutely the right choice: a good mixture of topics, enough time to chat in the breaks, and good time management by the presenters and organizers.

Instead of highlighting individual talks, here is a list of topics that received sufficient attention and coverage in the conference:

High-NA EUV: Of course, this topic had to be there. Presenters from imec, ASML, Advantest, DNP and others touched on this. Topics included overlay and stitching, defects, pattern fidelity on masks, differences in metrology due to the anamorphic masks, as well as past and future roadmaps. The general tone was “no bad surprises, all working as we hoped but innovations needed beyond the 2nm node.”

e-Beam Mask Patterning and Lithography: IMS and NuFlare gave an overview and outlook of their multi-beam mask writers. DNP stated that multi-beam mask writers are absolutely needed for EUV. The general tone was that multi-beam writers are here and making steady progress, potentially also targeting higher nodes. As for e-Beam lithography, there were several talks about e-Beam direct writing for specialized fields like photonic waveguides, quantum and other optical applications. This was very interesting, specifically as it became clear that despite relatively large feature sizes, the requirements for line-edge roughness are very tight. In addition, certain patterns may be more effectively written by character projection e-Beam techniques in which certain complex patterns are written in one or a few shots only.

AI in Photomasks and Lithography: This was a repeated theme in several sessions. Main target applications for AI were in inspection and metrology. Contour detection from SEM images was mentioned several times, specifically for curvilinear (curvy) geometries. Also mentioned was prediction of mask/wafer quality based on deep learning algorithms that take sensor data from the equipment as input. Much emphasis was placed on how to generate simulated/emulated training data as real measurement data is hard to get in large quantities. It seems as if this whole field is picking up speed but still far away from broad applicability.

Nanoimprint Lithography: The main emphasis in a dedicated session on nanoimprint lithography (NIL) was on printing various 3D patterns. Without knowing much about NIL, I found this interesting. The presenters emphasized what structures can be printed now leveraging all the existing NIL technology.

Advanced Photoresists: Several talks were given around this topic, including on multi-trigger resists and advanced CAR/PCAR, by FUJIFILM, DNP and micro resist technology. The focus here was on solving challenges of very advanced nodes.

Transition from Manhattan to Curvy: This theme was scattered throughout several talks. Presenters emphasized the importance of efficient checks for complex curvy patterns, the necessity of data volume reduction and progress with the newest data formats based on splines/Bezier curves. There seems to be agreement that curvy masks are a reality and no major headaches given the availability of multi-beam mask writers. On the design side, this is not yet the case. Though this may change soon as imec announced that curvilinear design has become a new initiative for them with the goal to further assist the semiconductor density scaling effort. And in a nice talk, imec showed a way to gradually make initial progress by working on curvy geometries inside standard cells.

Harry J. Levinson ended the conference with a fascinating talk about the limits to patterning using EUV and some potential ideas to get around some of the problems. All in all, it was an interesting and worthwhile event to attend. I plan to come to EMLC 2025 in Dresden, Germany.



Leave a Reply


(Note: This name will be displayed publicly)