Executive Insight: Aki Fujimura

D2S’ CEO offers insights into upcoming bottlenecks and why the entire semiconductor industry should be concerned.

popularity

Semiconductor Engineering sat down to discuss photomask technology and lithography trends with Aki Fujimura, chairman and chief executive of D2S.

SE: What are the big challenges that keep you awake at night?

Fujimura: Mask technology, and the investments in the mask industry, are increasingly important. But so far, the investment dollars that the community is willing to spend on it isn’t commensurate with the value, importance or difficulty of it. As we extend 193nm immersion, there is more and more pressure on being able to print more precise and flexible shapes on the mask. This will improve the performance of the wafer. So you would think that there is a shift to bring more of the value, and investments, to produce those masks more reliably. There are a number of companies, as well as members of the eBeam Initiative, that are working on this. But we are all struggling to make sure we can make the right amount of investments necessary to get there on time. That concerns me. There is also a lack of awareness. For example, the mask industry has been good at meeting the challenges of Moore’s Law for the last 30 years. The world got used to that and the industry often says: ‘The mask industry will figure it out.’ But now, it’s getting to the point where it’s so difficult. It’s just not getting there. It’s going to take money.

SE: There are cases where the industry has invested, right?

Fujimura: There are key places where investments are being made. Multi-beam mask writing is one example. Leading companies are investing in this. This is a good thing to see. But you can see how much the industry values something. Is the industry investing in just one top thing? Or is it investing in issues that go ten deep? Right now, the investments are not going ten deep, but they should.

SE: Why invest in photomask technology in the first place?

Fujimura: The entire semiconductor industry, and the Moore’s Law agenda, can be improved significantly if the mask bottleneck is removed.

SE: What is the state of lithography today, particularly EUV?

Fujimura: If you look at the eBeam Initiative survey, it indicates that there is a little bit of an increase in the skepticism in EUV. The survey echoes the statements from many in the industry: ‘We still want it to happen.’ But publicly, everyone is saying: ‘I don’t know if we can count on it. So we better have backup plans in place.’ If EUV happens, it’s great. No question about that.

SE: Can we extend optical lithography to 7nm and beyond?

Fujimura: Technically, yes. The question is clear: Is it economically viable? Certainly, the economic viability answer is very different, depending on which company you talk to.

SE: What about multi-beam e-beam for direct-write lithography?

Fujimura: In general, the progress for direct-write is slow. Still, there is a lot of interest in seeing if direct-write can happen in both a general context as well as a complementary lithography context.

SE: On the other hand, IMS Nanofabrication is making good progress with multi-beam for mask writing, right?

Fujimura: Multi-beam for mask writing is a different thing. IMS did a very smart thing. They used to do direct write, but they switched over to mask writing. IMS realized that the direct-write market is much bigger, but making it happen first in a mask-writing context was a more realistic thing to go after. The strength of e-beam based writing is easier to express on the mask side. Technically, it’s possible to do these kinds of things on the direct-write side too. But the amount of time and money it takes to get it production-worthy is substantially more on the wafer side than on the mask side.

SE: What are the challenges in the photomask industry? Is it write times, mask complexity or something else?

Fujimura: All of those are challenging. One thing I would add is the inspection of complex masks. Another issue is how you look at mask quality. In other words, is the mask OK or not? You have to evaluate that on the wafer plane. That kind of technology will be important in the future.

SE: Single-beam e-beam tools can write masks at 10nm today. How long can we extend the single e-beam tool?

Fujimura: Increasing the current density is the approach that e-beam companies have used for a long time to extend the technology. Current density is how many electrons per area you can throw out in any given time. The e-beam has gone from 200 amps per centimeter square to 400 amps per centimeter square. Right now, the e-beam has reached 800 amps per centimeter square. Some experts believe it could go to 1,600 amps per centimeter square. Beyond that, it’s going to be difficult.

SE: What impact will multiple patterning have in the mask shop?

Fujimura: Multiple patterning means you have multiple masks for any given layer. So the question is what’s happening with the total number of masks that you have to write? That’s going up. So if the numbers of wafers are the same, the number of mask writers you need will also go up. In addition, because each of the layers must be precisely written on the wafer, you will need more and more complex shapes on each of the mask layers.

SE: What about mask complexity?

Fujimura: Mask shapes used to be 200nm and larger. E-beam technology can write 200nm and larger shapes accurately. Basically, you got what you asked for on the mask and there was no manipulation required. The mask was also uniform. So it behaved very well. Now, the mask shapes for the leading-edge nodes are at least 60nm, but they are often smaller. That kind of dimension is getting into an area where e-beam, as accurate as it is, isn’t accurate enough.

SE: What does that imply?

Fujimura: Let’s say you write a 50nm shape, or a 40nm shape. For example, you print a 40nm feature. It will print one way. Then, you print another feature. It will print another way. So the huge change that’s happening in the mask shop today is that you need to have everything simulation driven. Now, people need to write things much smaller. It’s now context dependent. So now, it’s simulation-based. So to handle that, there is a lot of computational infrastructure that must be introduced in the mask shop. That’s a huge change.

SE: What’s next in terms of mask complexity?

Fujimura: At one time, the shapes were rectangular. Then, they become rectilinear and more complex. I predict they will become curvilinear.

SE: What are the challenges with curvilinear?

Fujimura: The reason why people don’t often do that, and why people still want to deal with rectilinear shapes, is because computationally the CAD tools haven’t been able to handle it. Up until recently, GPU-based computing hasn’t been available for reliable 24/7 operation. It’s only been in the last few years since the power of GPUs, or general-purpose graphics processors or GPGPUs, have been available. That’s going to open up a whole new way to deal with the CAD issues. In fact, I predict the entire EDA industry will take advantage of the power of GPU computing over the next several years.

SE: So your technology, TrueMask, is a GPGPU-based system, right?

Fujimura: It’s an acceleration system. We are using both CPUs and GPGPUs. We use them in tandem for computing. Some things are better with CPUs. There are many things, particularly simulation-based computing, that are better with GPGPUs.

SE: Why did D2S recently acquire Gauda?

Fujimura: What Gauda does is wafer plane simulation and OPC using GPGPU simulation. At D2S, we do the mask plane version of that. So, GPGPU computing in general is interesting to us. Plus, you need to increasingly introduce wafer plane analysis into the mask shop. Wafer plane simulation, analysis and correction are happening in the wafer shop. But in the mask shop, we also need to look at the mask defects by evaluating them on a wafer basis. One of the basic technologies you need to do is GPU-based accelerated wafer analysis.

SE: What’s the state of the photomask industry today?

Fujimura: There are fewer and fewer companies in the leading-edge IC industry. It’s becoming expensive. On the mask side, you are seeing the same thing. Meanwhile, there is an increasing need to collaborate between the wafer shop and the mask shop. A captive mask shop could perhaps take advantage of that. However, the merchant shops say they are struggling. This is not an easy business. But at the same time, the merchant mask shops continue to do the job.

SE: The EUV camp has proposed to extend EUV using high numerical aperture (NA) techniques. But this may also require the photomask industry to migrate from 6- to 9-inch masks. Does that make sense?

Fujimura: Maintaining both the traditional 6-inch mask, and migrating to 9-inch, is nearly impossible in this industry. It’s either going to be one or the other. There is no way you can get rid of what you do now. So therefore, migrating to something different is not going to happen. The industry sees why it would be good to have 9-inch masks, but it also can’t see how it can get funded.



Leave a Reply


(Note: This name will be displayed publicly)