Fill Database Management Strategies At Advanced Nodes

At 28nm and below, the rules for fill changed forever.

popularity

Fill has been around for many nodes, and was originally introduced to improve manufacturing results. The foundries learned that by managing density they were able to reduce wafer thickness variations created during chemical-mechanical polishing (CMP) processes, so they introduced density design rule checks (DRC). To meet these density requirements, designers “filled” open areas of the layout with inactive shapes. To avoid creating parasitic capacitance issues, the goal was to add only as much fill as needed to satisfy the density DRC requirements. Because the amount of fill used was minimal, design teams often merged the fill database back into the design database to make the extraction process and timing analysis of the design easier.

At 28nm and below, the rules for fill changed forever. With shrinking features, the manufacturing tolerances continued to shrink as well. At these nodes, fill affects multiple manufacturability issues (Figure 1). To improve the uniformity of the design, greater requirements were imposed on the filling process. Foundry fill targets flipped from minimum density to maximum density. While still needing to support gradient density rules that enforce a smooth transition between fill densities in adjacent locations, the newer technology extended the uniformity of density to include uniformity of perimeter to better manage the etch process, and introduced cell based fill to address issues associated with the rapid thermal annealing (RTA) process. Most designers think of these topics as the concern of the manufacturing team, so let’s examine these changes to the fill flow from the designers’ perspective.

Fig1  fill role in mfg
Figure 1. At advanced nodes, fill affects much more than just wafer planarity.

Designers care about yield, but the reasons why there has been an explosion in the size of fill data take a back seat to completing the design. Based on larger designs, and the shrinking dimension of fill shapes and spacing, adding billions of fill shapes to a design is now commonplace below 20nm. In figure 2, you can quickly compare the number of fill shapes in a square window in a 65nm process and a 20nm design.

Fig2_Fill_65nm_20nm_MG
Figure 2. Comparison of fill shapes and spacings at 65nm and 20nm.

Designers are rightfully concerned with the size of fill data, but they also are concerned with the parasitic impact from the increase in the number of fill shapes. Starting around the 28nm node, customers demanded that EDA suppliers provide a design flow that allowed them to keep fill in its own database, but support a merger of the fill and design databases for the extraction of fill parasitics for timing analysis when required. This capability reduced the amount of data that designers needed to include in their design database, but also allowed them to manage the timing impact of fill. All the leading extraction tools now support this flow. This “merge when needed” approach to fill management is an appropriate balance between design performance and data management. The importance of this approach only increases with the newest technologies. For example, to address the printability of the interconnect, it is now a common practice to wrap the interconnect with fill shapes that are small enough to require optical proximity correction (OPC) adjustments. The tighter spacing of these fill shapes increases the parasitic impact, so timing analysis that incorporates this fill is essential.

As fill became a more integral part of the design layout and verification flows, new strategies were introduced to control the impact of fill management on the design flow. For example, an engineering change order (ECO) that arrives late in the tapeout process and requires changes to fill may negatively affect file size, run time and timing closure, which can lead to a delayed tapeout delivery. In addition to the timing loop, the ECO fill flow is another area in which designers benefit from keeping the fill in a separate database. The ECO fill flow developed by the Calibre team is a part of the TSMC reference flow at advanced nodes. By limiting the need to refill the design to only those areas affected by the ECO change, designers benefit by reducing the run time to refill, maintaining the file size, reducing the timing impact, and minimizing mask costs. Just as designers requested the timing loop support a separate fill database and “merge as needed” strategy, they requested the same flow as part of the ECO fill solution.

Given the increasing impact of fill on design performance, designers must merge the fill database with the design database at certain points in the design and verification flows. Some argue that combining the design and fill databases is the only efficient way to manage fill at advanced nodes. However, there are a number of operational advantages to maintaining fill in a separate database. Because of the complexity and size of fill databases at these nodes, the Open Artwork System Interchange Standard (OASIS) file format, which is tuned for extremely large files, became the file format of choice over the Graphic Database System (GDS) format precisely because it is designed to handle massive databases, such as those containing fill data. The large number of fill shapes and patterns in use at advanced nodes could significantly slow place and route (P&R) operations if the P&R tool had to incorporate all the fill shapes. Extraction tools are already designed to support separate fill databases for greater efficiency in extraction and timing analysis. Newer fill process flows like ECO fill were developed to work with separate fill and design databases for faster operation. Keeping fill data separate and merging only when required helps streamline the design and verification flow, while still enabling operations like extraction of the electrical impact and the ECO fill flow.

Additionally, continuing the separation of design and fill databases supports the growing trend towards interoperability in the industry. Placing fill in a proprietary design database would shut off access to the fill data and restrict the users’ choice of tools, in direct contrast to the progress that has been made over the last ten years to enable open choice and “best tool” options.

Fill management at advanced nodes is indeed a challenge. The EDA industry is stepping up to that challenge by providing new tools and strategies to help designers create layouts that incorporate new fill requirements without forcing them into restricted design options or introducing delays into today’s tight schedules.



Leave a Reply


(Note: This name will be displayed publicly)