Home
TECHNICAL PAPERS

GPU Microarchitecture Integrating Dedicated Matrix Units At The Cluster Level (UC Berkeley)

popularity

A new technical paper titled “Virgo: Cluster-level Matrix Unit Integration in GPUs for Scalability and Energy Efficiency” was published by UC Berkeley.

Abstract
“Modern GPUs incorporate specialized matrix units such as Tensor Cores to accelerate GEMM operations central to deep learning workloads. However, existing matrix unit designs are tightly coupled to the SIMT core, limiting the size and energy efficiency of the operation due to capacity and bandwidth constraints from the register file. Such a limitation in scalability makes it difficult to simultaneously enhance compute throughput and improve energy efficiency in GPUs.
To address this challenge, we propose Virgo, a new GPU microarchitecture that integrates dedicated matrix units at the SIMT core cluster level. By physically disaggregating the matrix unit from the SIMT core, Virgo eliminates scalability constraints imposed by the core microarchitecture. Consequently, Virgo increases the granularity of operations at the hardware which not only improves data reuse, but also reduces the number of instructions processed in the SIMT core. This reduction in instruction processing decreases energy consumption within the core pipeline, thereby improving the system-level energy efficiency. Our evaluations, implemented in synthesizable RTL, demonstrate that Virgo achieves up to 66.3% reduction in active power and 77.2% reduction in active energy consumption of the system-on-chip compared to the baseline core-coupled design.”

Find the technical paper here. August 2024 Preprint.

Kim, Hansung, Ruohan Yan, Joshua You, Tieliang Vamber Yang, and Yakun Sophia Shao. “Virgo: Cluster-level Matrix Unit Integration in GPUs for Scalability and Energy Efficiency.” arXiv preprint arXiv:2408.12073 (2024).



Leave a Reply


(Note: This name will be displayed publicly)