Machine Learning For IC Production

Experts at the Table, part 3: Where this technology can be applied and what’s ahead.

popularity

Semiconductor Engineering sat down to discuss artificial intelligence (AI), machine learning, and chip and photomask manufacturing technologies with Aki Fujimura, chief executive of D2S; Jerry Chen, business and ecosystem development manager at Nvidia; Noriaki Nakayamada, senior technologist at NuFlare; and Mikael Wahlsten, director and product area manager at Mycronic. What follows are excerpts of that conversation. To read part one, click here. Read part two here.

left to right: Noriaki Nakayamada, senior technologist at NuFlare; Aki Fujimura, chief executive of D2S; Mikael Wahlsten, director and product area manager at Mycronic; and Jerry Chen, business and ecosystem development manager at Nvidia.
Fig. 1: (L-R) Noriaki Nakayamada, senior technologist at NuFlare; Aki Fujimura, chief executive of D2S; Mikael Wahlsten, director and product area manager at Mycronic; and Jerry Chen, business and ecosystem development manager at Nvidia.

 

SE: Machine learning, which has been around for years, makes use of a neural network in a system. In neural networks, the system crunches data and identifies patterns. It matches certain patterns and learns which of those attributes are important. The technology is being used in various industries. Is it being used in IC design, the wafer fab and the photomask shop today?

Chen: Absolutely yes. A lot of it is not publically visible yet, but we see it happening. There is an element of it that involves design optimization. There are a lot of straightforward deep learning projects that are making predictions about where you should focus your attention from a design standpoint. On the manufacturing side of things, we also see it for design-for-manufacturing as well as people who are deploying technologies for doing various types of inspection throughout the line. That ultimately feeds back into process control. Once you see something happening, you can make a decision. Some of that is automated. Some of that requires some manual intervention.

Nakayamada: There are a lot of things going on, but it’s not public. In a recent presentation, however, we’ve heard about things like the self-driving photomask.

SE: I believe you are referring to a recent paper given by Synopsys, entitled the “The self-driving photomask.” For this, researchers are exploring the use and readiness of neural networks for use in lithography and photomasks applications. But I assume you can’t toss machine learning in the fab and it will solve all problems, right?

Chen: There is still a lot of work here. There is no free lunch. In the end, somebody has to do some kind of the work. The work is a little different. It’s mining out information and finding useful functionally out of the data. Let me give you some examples. On the circuit simulation side, we’ve seen cases where people are making predictions on areas where you should focus attention. It’s some sort of hot spot. There are different kinds of hotspots. Sure, you can do that with humans. But first of all, it would take a lot of time, which you don’t have. It is also not necessarily as repeatable and consistent, because a person’s performance kind of varies over time. Also, you don’t have the ability to continue to learn from more examples and from the performance of the system. And you also may miss it in the system when the process drifts. Definitely, in many cases, deep learning has been shown to be more accurate. In many cases, it has certainly shown that it doesn’t waste time or money with false positives. It’s definitely more consistent. In the end, from our perspective, the outcome is faster. It’s not just the compute is faster, but the business outcome essentially comes earlier and the payoff is faster than you would otherwise have achieved.

SE: Is machine learning being used for the production of photomasks? Does machine learning have any implications for extreme ultraviolet (EUV) lithography or EUV masks?

Fujimura: From the data preparation side of it, EUV requires more precision. So, more accurate masks are required in order to make EUV viable. So how do you do that? It’s going to take more computational power. Today, mask turnaround times are already very long. So you don’t want to make turnaround times in the mask shop any worse. How do you solve this dilemma? This is where deep learning is going to come into play. Deep learning for computational problems enables you to be more accurate faster. You can do it twice as fast and it’s going to be more accurate. It’s not deep learning by itself that’s going to do that. But using deep learning in a part of your processing allows you to make that happen. That kind of speed up will enhance the accuracy. That’s exactly what EUV needs.

Wahlsten: Our main business is mask writers for flat panels. It’s a little bit different from NuFlare. For semiconductor mask writers, the biggest challenge is to scale the feature sizes. For the panel side, the feature size is a little bit larger. Typically, you are down to half a micron or something. But it’s very important to have uniformity. Registration is extremely important. If you don’t have good registration between the layers or bad CD uniformity, it impacts the image quality. So, we have very high requirements on CD uniformity and the stability of the tool. Also, when you are writing these masks, it can take many days. And then, you need to keep the tool stable within a few nanometers for several days. That’s a big challenge. If you have a focus error of 20nm or something, maybe you need to scrap the whole mask. So, when you are making a display, it’s very similar to a semiconductor device. You are building up a backplane. You are controlling the pixels. It’s not like in the high-end semiconductor market, where you would have 80 masks to create a CPU. Instead, you maybe have 10 masks or something to create a TFT backplane. Actually, it’s a big electronic device. So, customers want as accurate masks as possible. That’s quite fundamental for our industry. The mask is the blueprint. So we will strive to have the best quality as possible in order to have good production yields.

SE: So, the momentum is building with machine and deep learning. Where is all this leading?

Fujimura: Software, as we know it, is going to change over the next 10 years, largely because of deep learning. It’s hard to say what will come out next year. But clearly, deep learning is becoming so inherently powerful.

 

Related Reading:

Machine Learning Invades IC Production

Reliability, Machine Learning And Advanced Packaging

Fabs Meet Machine Learning



Leave a Reply


(Note: This name will be displayed publicly)