Matching Between Simulations and Measurements As a Key Driver for Reliable Overlay Target Design

Comparing overlay target simulations and actual measurements identifies inaccuracies in models and can help designers improve models.

popularity

By S. Lozenko, B. Schulz, L. Fuerst, C. Hartig, and M. Ruhm of GlobalFoundries and T. Shapoval, G. Ben-Dov, Z. Lindenfeld,  R. Haupt, and R. Wang of KLA-Tencor

Abstract
Numerical simulation of overlay metrology targets has become a de-facto standard in advanced technology nodes. While appropriate simulation software is widely available in the industry alongside with metrics that allow selection of the best performing targets, the model validation tools are less developed. We present an approach of numerical model validation based on the comparison between target simulation results and on-product overlay measurements. A “simulation-to-measurement” software is used in this work to compare the performance metrics and accuracy flags of scatterometry-based overlay targets designed using KLA-Tencor AcuRate™ simulator for the critical layers of 12nm FD-SOI FEOL stack and 22nm FD-SOI BEOL stack. We demonstrate how simulation-to-measurement matching enabled us to verify the model, identify discrepancies between the model and the product stack and build an improved model that correctly describes the target. The refined target stack was used for image-based overlay target simulations that allowed us to obtain better performing optical overlay targets as well.

1.  Introduction
The continuous tightening of overlay budget during recent years is drawing significant attention to the problem of overlay target design by all cutting-edge chip manufacturers. Overlay control is usually done after photoresist development at the lithography step using one of the two measurement techniques: image-based-overlay (IBO) or scatterometry/diffraction-based-overlay (SCOL/DBO). Optimization of target design requires reliable numerical simulation tools. Appropriate simulation software packages are widely used in the industry along with specific metrics that allow selection of the best performing targets among a large set of candidates, both for image-based and diffraction-based measurement approaches1-4. While numerical simulations became a standard practice during the stage of target design, target model validation remains an essential problem, addressing the question whether the simulation outputs are consistent with the actual measurements.

A tested and verified numerical simulator itself is not sufficient for obtaining reliable target designs, as any simulation is based on the incoming stack and process data. Without sufficiently accurate stack parameters (in particular thickness of film layers, optical properties of materials and geometrical features of the gratings), the simulation results can significantly deviate from the real measurements, and basically become useless. Typical sources of stack information are optical metrology measurements (ellipsometry), as well as CD-SEM and TEM cross-sections.  However, at the stage of technology development, metrology targets often need to be placed on the mask even before the first wafer is processed in the wafer fab. Thus, targets need to be designed based on process assumptions with limited information about the exact stack and optical properties of the materials. This process uncertainty makes any capability to evaluate stack model quality crucial for the target design in the R&D phase. A simulation module which uses direct comparison of the measured data with the simulated one is an essential feature to be added to any simulation package. The purpose of such a simulation module is to perform stack verification and, if required, to suggest model adjustments and improvements based on the best fit of the measured data to model with particular process variations.

In this work, we demonstrate the target design improvement on several critical layers of a product in its R&D phase using a KLA-Tencor simulation-to-measurement (S2M) software tool. The S2M software tool compares calculated target performance metrics and accuracy flags for a wide range of stack parameters with the corresponding measured quantities from multiple sites spread across the measured wafers. It accounts for all available hardware setups in the scatterometry-based overlay (SCOL) tool. These include different wavelengths and polarizations of the illumination light as well as various light apodizations. Such comparison delivers a quick estimate of the quality and accuracy level of the modeled stack, and furthermore helps in determining, based on the matching of various process variations, what kind of correction should be done in the stack in order to increase model accuracy. Although the current version of the S2M tool is restricted to the SCOL technology, we demonstrate that the knowledge gained from the S2M can be directly applied for the image-based target improvement.

The manuscript is structured as following. In Section 1.1–1.2 we briefly overview the principles of scatterometry based overlay measurements, introducing the SCOL target architecture and target performance metrics. Sections 1.3–1.4 describe the target simulation principle and performance metrics used for target ranking. Section 1.5 is dedicated to the details of the S2M comparison algorithm and in Section 1.6 we briefly talk about image-based targets and related accuracy metrics. Section 2 presents two examples of target model verification and adjustment based on comparison between simulations and measurements for two critical layers of a product in the R&D phase. Finally, in Section 3 we draw conclusions and give a short summary.

1.1.  Scatterometry Overlay (SCOL) Basics
Here we will briefly describe the measurement principles behind SCOL technology and review the required target architecture. For further details, we would like to refer to articles3-5.

The measurement principle behind SCOL is angle-resolved scatterometry with a monochromatic light source5. The different generations of ArcherTM tools have multiple illumination options, varying from several single-wavelength sources in the range of visible light (400–800nm) up to the tunable laser which covers the whole visible light spectrum6,7. A SCOL target consists of four cells where two cells are used for X and the other two for Y overlay measurements in their grating vector direction respectively (Fig. 1, left). A unit cell of an overlay target consists of a grating-over-grating reflective diffraction structure. The light reflected from the target after diffraction is collected at the pupil plane of the tool microscope. Each point in the collection pupil plane corresponds to a different polar and azimuthal diffraction angle. The numerical aperture of the collection lens is chosen high enough in order to collect the ±1st diffraction orders. The gratings of the target are placed in the layers whose overlay needs to be measured and are referred to as current layer or top grating and previous layer or bottom grating. Both gratings have an equal pitch of the order of magnitude of light wavelength used for measurements. This allows capturing the ±1st orders in the collection pupil plane so that they do not overlap with the zero order spot and are completely included in the numerical aperture (Fig. 1, right). The intensity distributions of the first order diffraction spots in the pupil plane are used for overlay calculation. The lithography misalignment leads to the relative shift between the current and previous gratings in the grating-over-grating structure, which results in the symmetry breaking between the +1st and -1st diffraction orders. This intensity difference between the +1st and the -1st diffraction order signals is a measure of the overlay value.

Thus, we define the term of a “differential signal” as a subtraction of the -1st order signal from the +1st one:

(1)

 

where p indicates the index of a pixel in the collection pupil plane, corresponding to a diffraction angle. The subscript i =1,2 refers to a target cell.  In order to be able to quantify the overlay value, two cells are required for each measurement direction with a certain induced shift, f0, in opposite directions. Then, the total misalignment between the top and bottom gratings for the two cells is:

 

(2)

 

Typical values of f0 are about 20nm. We extract the overlay value from the two differential signals corresponding to the two cells4. The overlay value is defined for each pixel on the pupil plane separately. A weighted average, which amplifies the contribution of the more sensitive pixels, is then applied for getting the single result of the overlay value.

In the current work we used a KLA-Tencor Archer 500LCM system with a monochromatic light source. The illumination spot is limited by a field-stop to a single target cell at a time. The measurement tool provides three main knobs that define the measurement conditions: illumination wavelength (λ), illumination mask or apodizer (a choice between normal illumination or off-axis illumination), and light polarization. Six single-wavelength sources are available, distributed across the visible wavelength range (400-800nm). Polarization setting determines both the illumination and collected light polarization. We refer to light as P-polarized when the electric field vector is parallel to the grating and S-polarized when it is perpendicular to the grating. Three different illumination apodizers are available: two provide an on-axis illumination and are named “Top-Hat” (TH) and “Focus Assist” (FA) and the third one an off-axis illumination—“Quadrupole” (QP). The TH apodizer is less used in production overlay measurements, but is an important part of the simulation to measurements comparison.

Figure 1. Left: Schematic drawing of a typical SCOL target, where each pair of diagonal cells is used for the overlay measurement in its grating vector direction. Right: a cross-section view of a single target cell containing a grating-over-grating structure. The gratings are formed in the layers whose overlay needs to be measured and are referred to as “current layer” and “previous layer”. The incident and diffracted light as well as a collection pupil that captures the 0th, ±1st diffraction orders are shown schematically.

1.1.  Target performance metrics
In angle resolved scatterometry the diffracted light is collected in the pupil plane providing the distinct overlay value for each point on the pupil plane. That is why this pupil image contains a large amount of information available for the evaluation in addition to the weighted mean overlay value. This information about the homogeneity of overlay over the pupil plane is described by variety of target performance metrics, or so-called accuracy flags, calculated and reported simultaneously with the overlay value. The Archer 500LCM system calculates more than ten accuracy keys for X and Y directions of each measurement point. The accuracy keys can be split in several groups which characterize the different properties of the pupil image: overlay uniformity in perpendicular direction, validity of the overlay calculation algorithm, pupil noise, target sensitivity to overlay and target robustness to possible process variation8. These accuracy metrics are the key players during the on-tool automatic recipe optimization process which selects the best performing recipes. But even more importantly, the accuracy keys provide a direct way to monitor the recipe stability in production.

1.2.   Target simulation principle
After the introduction of the scatterometry measurement principle in the previous section, we now provide an overview of the target simulation methods used.

Numerical simulations of the diffraction pattern from a SCOL target are performed by solving Maxwell’s equations using the RCWA method (Rigorous Coupled Wave Analysis) incorporated in the KLA-Tencor software package named AcuRate. The incident illumination is represented as a superposition of plane waves falling at discrete angles. Each angle corresponds to one pixel of the registration CCD sensor at the pupil plane. On-axis (TH, FA) and off-axis (QP) apodizers are simulated separately.

Two distinct groups of input parameters are fed to the simulator: parameters that characterize the stack (thicknesses of the layers and material dispersions) and target design parameters which are varied during simulations in order to optimize the target performance for that specific stack. The latter include the pitch of bottom and top gratings, CD (critical dimension) of the gratings, half-pitch shift which distinguishes between a “bar-over-bar” (indicated by us as F=0) and a “trench-over-bar” (indicated by us as F=1) configurations, and different segmentations of the gratings bars.

Another important set of input parameters is so-called “process variations”. Thicknesses of different stack layers on production wafers may vary across the wafer as well as from wafer to wafer due to manufacturing process instabilities. Also, as it was already mentioned, the thicknesses in the R&D phase are known only approximately, and their possible range is defined based on process assumptions and not on inline measurement data. Even when the thickness of a certain layer is considered to be defined exactly as the process is duly calibrated, the influence of the subsequent manufacturing processes may alter it significantly. Deviation of real layer thicknesses from nominal modeled values may lead to strong degradation of target performance, induce grating resonances9 and render targets unusable. Therefore, it is important to assure at the stage of modeling that the chosen SCOL target can handle a certain amount of variations in layer thicknesses with reasonable performance. Process variations (PV) can be applied to each stack layer separately and set a range in which the thickness of the layer or the CD of a grating is varied during the simulation. The simulation is usually done for the nominal stack and for the extreme limits of the PV range (“Nominal-PV” and “Nominal+PV” values) assuming a linear behavior of the target performance within the PV range.

The RCWA solver computes the Jones matrix associated with each illumination angle for each relevant (far field) diffraction order. In our case these are the ±1st and 0th orders. The software then accounts for the contributions of the relevant optical system elements (such as lenses and polarizers) to convert the Jones matrices into intensities. In particular, we account for a polarizer (in the illumination path) and an analyzer (in the collection path), which are set to 0 or 90 degrees depending on the designated tool setup of “P-polarized” or “S-polarized” light respectively. The result of the solver is the collection of pupil images, similar to the ones obtained with the CCD camera of overlay measurement tools, for each simulated target geometry and hardware set-up.

1.3.  Target performance metrics for simulation
Similar to the measurements, simulated pupil images are used to calculate a number of quantities that characterize the SCOL target performance, namely—accuracy metrics.  For the purpose of target design, these metrics are the basic criteria of the target selection procedure: the ranking of the targets based on the accuracy metrics is used to find the best performing and robust target and tool setup.

One of the crucial metrics for simulation is the sensitivity-to-overlay (SE [1/nm]) which is the indicator of measurability of the target from the precision point of view (or signal-to-noise ratio). The mathematical definition of SE is the partial derivative of the differential signal with respect to overlay. If we approximate the differential signals from two target cells by the first term of a Fourier series (of anti-symmetric terms only)4, and further assume a small misalignment between the patterned layers with respect to the pitch, the sensitivity-to-overlay per pupil pixel can be written as:

(3)

for each one of the target cells (i=1,2). D1(p) and D2(p) are the differential signals of +1st and -1st diffraction orders from the cells with +f0 and –f0 pre-introduced shifts. From this result an averaged metric, SE, is derived which is a form of a signal-normalized summation over the pixels, such that SE has the dimensions of [1/length]. This metric indicates how sensitive a target is to the shift between the top and bottom gratings (overlay).

The second crucial parameter is the “Pupil-Complexity-Index” (PCI) which characterizes the target robustness to process variation. PCI takes discrete values of 0 or 1 and indicates whether the function in (3) changes its sign within the pupil. A sign change indicates the existence of a resonance, which means an abrupt change in the calculated overlay within the pupil and typically occurs due to interference between the top and bottom gratings for certain target geometries and measurement conditions. Therefore, wherever a change of sign is detected (PCI=1), the associated target and measurement setup need to be rejected, whereas when there is no sign change (PCI=0), the target and measurement setup are stable with respect to the overlay calculated from pupil images. Mathematically the definition of PCI is:

(4)

where

(5)

and Sp is the total pupil area where D1-D2>0, while Sn is the total pupil area where D1-D2<0. The Fthreshold parameter should theoretically be equal to zero, but in practice it is taken equal to a small number so that a change in sign for a small number of pixels due to noise does not have any effect on the value of PCI.

1.4.  Simulation-to-Measurement Tool Approach
The comparison of simulations to measurements is performed using KLA-Tencor S2M software. It compares the target performance metrics and accuracy flags extracted from the overlay measurement tool with the output of the AcuRate simulator in two steps. The first step matches metrics and flags separately for each tool setup (wavelength, apodizer, polarization), where metrics are plotted on the Y-axis and tool setup on the X-axis. The second step correlates measured and simulated results between various tool setups.

The measurements are performed on several locations on the water to cover the existing target performance modulation due to the process variation over the wafer. The simulation is done on one target with the multiple process variations applied. Parameters of the stack (thickness, CD) are varied in several steps within the defined PV range. Comparisons between simulation and measurement are done for all possible recipe setups (hardware configurations). The error bars for each point of comparison plot are defined by the variation of the measured values over the wafer and by the influence of the applied PV on the simulated metric. The goodness of matching for each tool setup can then be assessed as the overlap between measurement and simulation error bars—matching is perfect when error bars fully overlap.

Figure 2. Multi-dimensional error-bars, demonstrating the ideal overlap of measured and simulated results: measured metrics range (green box) is fully contained within the simulated metrics range (yellow box). Dots indicate the real measurement data points, which may be either within the simulated process variation range (green) or outside (red).

If multiple metrics are compared at the same time, the intersection of measured and simulated values is no longer an overlap of 1D error bars but can be represented as a hyper-box—an n-dimensional box where n is the number of compared metrics. This is schematically depicted in Fig. 2 for the case of two metrics, where the overlap of simulated and measured values takes shape of rectangles (2D hyper-box). We expect each measurement performed at a different location on a wafer to fit within the rectangle that is defined by the total space of simulated process variations.

The second part of the matching process is to check the correlation between different tool setups, i.e., different combinations of illumination light wavelength, polarization and apodizer. A linear correlation plot is done where the simulated and measured values of a metric are plotted on X and Y axes correspondingly and each point on the plot represents a different tool setup. Afterwards a least squares linear fit is performed and the coefficient of determination R2 as well as the slope of the fitted line are assessed in order to evaluate the level of matching. Ideally, the simulated metric values should be equal to the measured one, which would produce a perfectly straight fitted line with a slope of unity. However, multiple non-simulated effects that are present in the measurements lead to the deviation of data points from the straight line. Non-simulated effects include, but are not limited to, measurement noise that becomes more pronounced at the low levels of measured signals, deviations of real material dispersions and real target dimensions from the modeled ones, various asymmetries in the diffraction grating elements as well as cell to cell differences in a target and target printability issues.

A linear correlation as described above is performed for each measured location on the wafer and for each simulated process variation. The process variation with the highest R² is then selected among all process variations for each measurement location, thus, showing what process variation best fits the experimental data. In the ideal case, when the simulated model perfectly matches the target on the wafer, the best correlation should be to the nominal stack parameters in all the measured locations. Any variation from the nominal designed stack, such as thickness variations across the wafer, differences in material dispersions, CD biases due to etch or lithography processes lead to the fact that the best correlation is obtained for one of the modeled process variations. Measurements in multiple locations let us assess how stable the correlation over the wafer is, giving the first hint about the reason of the mismatch. In order to quantify the goodness of matching, a “correlation score” is calculated as a ratio of the number of sites with a good linear correlation (R2>0.64) to the overall number of measurement sites. The threshold value of R2 = 0.64 is chosen based on experience with many different stacks and it reflects the level of accuracy that could be achieved in the comparison between simulated and measured data.

Consistent correlation to a certain PV enables us to identify what part of the model can be improved and what stack parameters should be verified using independent techniques (additional stack thickness measurements at different manufacturing steps, cross-sections, CD-SEM/TEM measurements).

Therefore, simulation to measurements matching reaches two goals: on the one hand it enables a quick validation of the model and on the other hand identifies the problematic areas and provides the hints for the model improvement. Going further, the S2M process may be repeated iteratively multiple times: after each run, the SCOL target model is readjusted based on the best correlating PV and a new simulation is performed. The results of the new simulation are compared with the measurement again and the next best correlating PV is taken to further improve the model, thus yielding the model that best describes the on-wafer SCOL target.

To summarize, the simulation to measurement capability clearly improves the target design cycle providing a quality test of the model and allowing systematic model optimization. Figure 3 demonstrates the role of the S2M software in the target design cycle.

Figure 3. Overlay target design cycle and the role of simulation-to-measurement comparison in target selection.

1.5.  Image-based overlay target simulation and related accuracy metric.
The image-based technology is established for overlay measurements for decades, and due to its maturity and cost efficiency the preferred method for the nodes up to 28nm. Going below 28nm the image-based and diffraction-based technologies are developing in parallel, serving cutting-edge chip manufacturers with two alternative solutions, each one with its own advantages for the particular production steps6. A basic principle of the image-based overlay technology is the processing of the regular target image, which is collected by the CCD camera in the focal plane of the microscope. The most common target used for this technology is the AIM® target10. As any overlay measurement is based on symmetry breaking in the target, any other asymmetries, not related to overlay shift, can affect the measurement results. There are two important approaches to obtain good performing and accurate targets. On the one hand, the targets should be designed based on the product design rules to ensure that the nominal process and its possible variations do not affect their symmetry and printability. On the other hand, the quality of the printed targets should be controlled and monitored in production. KLA-Tencor’s software package AcuRate is used to design AIM targets based on the same input data and similar simulation principles as for SCOL (described in subsection 1.3). The AIM targets are ranked based on their specific accuracy metrics. The main metrics are “Qmerit” and “contrast precision”. The Archer 500LCM system allows measuring the same metrics for each measurement point and monitoring their behavior in production. The Qmerit accuracy key, which addresses the asymmetry of the target, and its application for the recipe setup and process monitoring, was described in detail in several publications7,11-14. Contrast precision is a metric that represents the image contrast and thus addresses the signal-to-noise ratio of the collected target image9. The image contrast is a prerequisite for good target precision during measurement.

2.     Simulation to measurement comparison
2.1.  Example 1
In the first example, we demonstrate a comparison between simulations and measurement for a SCOL target in the FEOL stack of a 12nm FD-SOI technology product. The target had to be designed before the full structural learning was available, partially based on process assumptions, without exact knowledge of certain layer thicknesses. The overlay had to be measured between the developed mask layer and the previous layer, consisting of epitaxially grown SiGe and thin Si layers on top of an oxide/Si wafer (Fig. 5a). The target was simulated using KLA-Tencor AcuRate software, with sufficiently large space of PVs in order to account for possible thickness deviations from the assumed nominal values.

The overlay measurements were performed on the Archer 500LCM tool on 17 sites, evenly distributed across the wafer, and overlay data, as well as target performance metrics and accuracy flags were collected for all the possible hardware setups – combinations of wavelength, polarization and apodizer. We used the S2M software to compare the metrics of main interest – the Sensitivity-to-Overlay and Pupil Complexity Index. The error-bar plot of measured and simulated values of SE metric for each hardware setup gives an intuitive insight into matching quality (Fig. 4). Tight error bars for the measurement data points evidence that measured SE values are uniform across the wafer and implicitly confirm the good manufacturing process uniformity. The wafer to wafer variability was not verified in this case. The wide error bars for the simulated data points are due to large PVs applied during simulations—layer thicknesses were varied up to 15% in order to account for inaccuracies in the process assumptions and possible manufacturing process uncertainties.

The linear correlation plot between the measured and simulated SE values has shown the best match to the model, where Layer A thickness was 9.3nm larger than nominal. The correlation was consistent over all the measured sites on the wafer, with R2=0.96, however the slope suggested that the measured sensitivity was on average 1.5 times higher than predicted by simulations (Fig. 5b). The suggested thickness difference of 9.3nm seemed feasible, as the modeled thickness of Layer A was based on assumptions, and not on real stack measurement. The exact thickness of Layer A (refer to the stack on Fig. 5a) had not been verified yet using independent measurements at the time of writing this paper. The new, improved model was created with the re-adjusted thickness of Layer A and the comparison between the simulation and measurements was repeated (Fig. 5b, solid line). The best correlation this time was to the thicker oxide layer, with R2=0.96 and a similar slope. The oxide thickness, however, is a tightly controlled parameter, and a difference of 1.5nm that came out from the model seemed largely unlikely. We therefore looked through all the simulated PVs, searching for the correlation with a high R2 and a slope close to unity at the same time. Two candidates were found: in the first model, the thickness of SiGe layer was 1nm higher and in the second one, the Si thickness was 1nm lower. Both models suggested essentially the same optical effect—larger step height between the Si and SiGe layers. But as the Si thickness was precisely known and controlled, thicker SiGe was the most reasonable outcome of the simulation (Fig. 5b, dashed line). With the thickness of SiGe increased by 1nm, the match between measured and simulated values of SE became perfect: the slope of 0.94 and R2=0.92 were well within what is considered as a good match for this type of measurements and modeling.

At the stage of target design, the exact topography of Si/SiGe interface was not known and the structure was modeled as a simple rectangular step of a certain height. The outcome of the S2M comparison triggered us to study the structure in more details. A TEM cross-section confirmed the S2M findings: first of all, the thickness of SiGe layer was indeed ~1.2-1.5nm larger than initially modeled, and the topography of the Si/SiGe interface was more complicated than a simple rectangular step. The difference between the real and simulated topography, as well as material dispersion difference in the border region, may account for the remaining discrepancies between simulations and measurements. From the practical point of view, these discrepancies play no important role: small variations in SE (of the order of 1e-4) are unlikely to have a noticeable impact on the overlay measurements as soon as the SE value for the selected target is above a recommended threshold of 0.001–0.002 1/nm. For the same reason we neglected the non-zero intercept values of the linear correlation plots, which were lower than 0.5e-3. It should be noted as well, that for the hardware setup #8, chosen as the best performing one by the tool software, the match between the measured and simulated SE values is perfect for the improved model (Fig. 4).

Figure 4. The error-bars plot of SE metric for all available hardware setups shows a better match for the improved model compared to the original. The measurement error bars indicate the low variability of SE across the wafer. Simulation error bars provide a quick visual assessment of target sensitivity to process variations at each measurement condition.

The PCI metric comparison has shown a very good agreement between simulated and measured values for the majority of hardware setups. For the best hardware setup #8 the simulated values of PCI were zero for all the process variations, meaning the target is robust with respect to thickness variations in the stack.

The simulation-to-measurement comparison presented here was performed for the “X” cells of the SCOL target—the pair of cells that measures overlay in X direction. While the simulated structure is perfectly symmetrical, and “Y” cells are identical to “X” cells, the manufacturing process may lead to differences in the patterning of structures, oriented in orthogonal directions. The matching was repeated using target performance metrics and accuracy flags from the “Y” pair of cells of the studied SCOL target and yielded comparable results.

 

Figure 5. (a) Schematic cross-section of the simulated target stack, consisting of the patterned resist layer and a previous epitaxial SiGe layer. One period of the gratings in X-direction is shown. The linear correlation plots between the measured and simulated values of SE metric for the original (b) and improved (c) SCOL target models. A good correlation to one of simulated process variations indicates the difference between the manufactured stack and the model and suggests the ways of model improvement. For the original model the best correlation was to a thicker Layer A (9.3nm higher than nominal). For the improved model two correlations are shown—to a thicker oxide layer (rhombus, solid line) and to a thicker SiGe layer (circles, dashed line), selected as the best candidate. The measured SE values on the plots are averaged over 17 measurement sites.

The improvement of the SCOL target model described above additionally allowed us to design a better set of image-based overlay targets10 for the same FEOL stack. The simulated precision of the original AIM targets set, designed using AcuRate software, came out above the recommended threshold value of 0.5 (Fig. 6a). The targets therefore were judged as being of low quality and hardly measurable at the stage of design. The whole possibility of optical image-based overlay measurement was doubted for the assumed FEOL stack due to the low contrast of the previous layer. When we applied the adjusted layer thicknesses from the improved SCOL model to the AIM target model, the simulated precision values decreased almost by the factor of two, and were within the specification limit for the majority of the targets. This agrees with the experimentally observed AIM target performance and enables the design of better performing targets and selection of a wider population of target candidates in a threshold-based selection process.

The modelling of AIM targets provides a synthethic microscope image that allows the designer to visually assess the image contrast. We compared the experimentally obtained microscope images from the Archer 500LCM system with the simulated ones for one of the candidates among the different targets (Fig. 6b). The comparison of images is complicated due to the fact that the contrast in the image taken by the tool depends on the intensity of incident light,  tool optics, CCD integration time and may vary from tool to tool. It is therefore a purely qualitative comparison, which however gives an impression of the target performance. The previous layer AIM structures have a weak contrast which makes overlay measurement challenging, while the current layer contrast is good and should pose no problems.

2.2.  Example 2
The second example illustrates model validation of the SCOL target designed for the BEOL via layer of 22nm FD-SOI technology. The target is used to measure the overlay between the current developed resist layer and a previous metal layer. The target elements were segmented in the orthogonal Y-direction in order to achieve compatibility with the design rules (Fig. 7a). Similar to the previous case, the overlay measurements were performed on the Archer 500LCM system on 13 sites across the wafer and SE and PCI metrics were compared using S2M.

The first indication of a mismatch was a different best hardware setup selected by the tool compared to the one predicted by the model. Comparison of metric values obtained from the original model has immediately indicated that the model was unreliable: a low correlation with the measurements (Fig. 7b) as well as a mismatch in PCI values were observed. The best correlation was pointing out a higher (+3nm) resist thickness, however R2=0.48 signified that the model incorrectly described the target and the simulated PV range was incapable to identify the required model correction.

Figure 6. (a) Simulation of AIM targets with the stack parameters obtained from improved SCOL model demonstrates that the targets are within specification limit for precision and suitable for measurements. (b) Simulated microscope images of one of the candidate AIM targets qualitatively agree with the images obtained on the Archer 500LCM.

During the model review, it has been found out that the integration scheme was changed after the target had been implemented in production and thickness of one of the layers (Layer B on Fig. 7a) was changed significantly and was outside of the simulated PV range. Adjustment of Layer B thickness to the correct value improved the matching, but the best correlation was to the 20nm higher CD of the patterned resist. Following the approach described in the first example, several iterations of model readjustment were performed. The modeling result suggested continuous increase of the resist CD up to 60-80nm larger than the nominal value. The verification that followed confirmed that the resist CD was indeed high. According to CD-SEM measurements, the CD of the developed resist pattern was on average 60nm larger along the X-axis and 20nm larger along the Y-axis as compared to the SCOL target design. The comparison of measurements to simulations has therefore not only led to an improved target model but also to a deeper understanding of lithography process performance.

Figure 7. (a) Sketch of the simulated 3D stack. The target stack consists of the patterned resist layer and a previous metal (Cu) / inter-layer dielectric (ILD) layer. One period of the gratings in x-direction is shown. Gratings are segmented in the orthogonal y-direction for design rules compliance. (b) The simulated SE values from the original model show low correlation with the measurements. (c) After model adjustment, the correlation of simulated and measured SE improves. The measured SE values on the plots are averaged over 13 measurement sites.

The fit, obtained with the improved model (Fig. 7c), where =0.85 and slope = 0.75, is lower than the one achieved in the previous example, that may be due to a number of factors. On the one hand, the BEOL stack used in this model is more complicated than the one used in the first example. The stack includes multiple FEOL/MOL and a couple of underlying BEOL layers and is therefore much more prone to manufacturing process variations. On the other hand, the numerical simulation was performed in three dimensions, and therefore the mismatch of real and simulated structure geometry along the Y-axis introduced additional sources of errors.

3.     Conclusions
In this work we have demonstrated how the comparison between overlay target simulations and actual measurements enables us to identify the inaccuracies in the models and build the improved models which correctly describe the targets. Using the “simulation-to-measurement” software we correlated the output of AcuRate target simulator with the on-product measurements performed on Archer 500LCM system for two critical metrics of scatterometry-based targets—“sensitivity-to-overlay” and “pupil complexity index”. The simulations were performed taking into account large process variations—possible deviations of stack parameters from the nominal values.

In the first case of a 12nm FD-SOI FEOL target, the original target model led to a 1.5 times mismatch between simulated and measured overlay sensitivity. Correlation of different simulated process variations to the measurement data allowed identifying the discrepancy between the model and the real product stack. The improved model achieved a good correlation of “sensitivity-to-overlay” metric with R2=0.92 and a slope close to unity, thus enabling the design of better performing targets. The updated target stack was then used for image-based target simulation providing a set of AIM targets with precision metric within recommended specification limits.

In the second example of a 22nm FD-SOI BEOL target the original model provided a low correlation of sensitivity to the measured data with R2=0.48. Several iterations of simulation to measurement comparison identified the correct stack layer thicknesses and CD values, which where verified by independent CD-SEM measurements. The corrected stack parameters yielded a model which better described the target, with R2=0.85 and a slope of 0.75 for the “sensitivity-to-overlay” metric.

The simulation to measurement comparison thus enables a faster target design cycle. It provides a quick quality test of a model, identifies the discrepancies between the model and the real stack and provides hints for model improvement.

ACKNOWLEDGMENTS
We would like to express our gratitude to Dmitriy Likhachev, Steffen Brunner and Robert Melzer for providing optical properties of film stack materials and to Diana Grosser and Marko Koedel for the placement of targets on the products. We also would like to thank Chen Dror, Mark Ghinovker from KLA-Tencor Israel for their support with AcuRate software and to Eitan Hajaj for AcuRate template generation.

References:

  1. Adel, M., Tarshish-Shapir, I., Gready, D., Ghinovker M., Dror, C., Godny, S., “Stack and topography verification as an enabler for computational metrology target design”, Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 94240D (2015)
  2. Kim, Y.-S., Hwang, Y.-S., Jung, M.-R., Yoo, J.-H., Kwon, W.-T., Ryan, K., Tuffy, P., Zhang, Y., Park, S., Oh, N.-L., Park, C., Shahrjerdy, M., Werkman, R., Sun, K.-T., Byun, J.-M., “Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets”, Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 942414 (2015)
  3. Tarshish-Shapir, I., Hajaj, E., Gray, G., Hodges, J., Zhou, J., Wu, S., Moore, S., Ben-Dov, G., Dror, C., Lindenfeld, Z., Gready, D., Ghinovker, M., Adel, M., “Overlay metrology performance prediction fidelity: The factors enabling a successful target design cycle”, Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97782J (2016)
  4. Ben-Dov, G., Tarshish-Shapir, I., Gready, D., Ghinovker, M., Adel, M., Herzel, E., Oh, S., Choi, D.-S., Han, S.H., El Kodadi, M., Hwang C., Lee, J., Lee, S.Y., Lee, K., “Metrology target design simulations for accurate and robust scatterometry overlay measurements,” Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97783B (2016)
  5. Adel, M., Kandel, D., Levinski, V., Seligson, J., Kuniavsky, A., “Diffraction order control in overlay metrology: a review of the roadmap options,” Proc. SPIE 6922, Metrology, Inspection, and Process Control for Microlithography XXII, 692202 (2008)
  6. Hsu, S. C. C., Pai, Y. Ch., Chen, , Yu, Ch. C., Hsing, H., Wu, H.-Ch., Kuo, K. T. L., Amir, N. “Scatterometry or imaging overlay: a comparative study,” Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 942409 (2015)
  7. Dettoni, F., Shapoval, T., Bouyssou, R., Itzkovich, T., Haupt, R. and Dezauzier, C., “Image based overlay measurement improvements of 28 nm FD-SOI CMOS front-end critical steps,” Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101450C (2017)
  8. Lee, H. et al. “Overlay Measurement Accuracy Enhancement by Design and Algorithm” Proc. of SPIE Vol. 9778 97781H-2 (2016)
  9. Bringoltz, B. et al. “Accuracy in optical overlay metrology,” Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97781H (2016)
  10. Adel et. al. “Performance study of new segmented overlay marks for advanced wafer processing,” Proc. of SPIE Vol. 5038 (2003)
  11. Shapoval, T., Engelmann, J., Kroh, C., Schmidt, N., Agarwal, S., Ramkhalawon, R., Cangiano, A., Debarge, L. and Haupt, R., “Etch process monitoring possibilities and root cause analysis,” Advanced Semiconductor Manufacturing Conference (ASMC), 10.1109/ASMC.2016.7491088 (2016)
  12. Shapoval, T., Schulz, B., Itzkovich, T., Durran, S., Haupt, R., Cangiano, A., Bringoltz, B., Ruhm, M., Cotte, E., Seltmann, R., Hertzsch, T., Hajaj, E., Hartig, C., Efraty, B., Fischer, D., “Influence of the process-induced asymmetry on the accuracy of overlay measurements,” Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 94240B (2015)
  13. Ruhm, M. et al., “Overlay leaves litho: impact of non-litho processes on overlay and compensation,” Proc. SPIE 9231, EMLC, 92310O (2014)
  14. Klein, D. et al., “Quality metric for accurate overlay control in <20nm nodes,” SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86811J (2013)
  15. Amit, E., Adam, I., Lamhot, Y., Peled, E., “Spectral tunability matters,” SPIE 2017, Thursday 2 March, Session 15, 5:10 pm, KLA-Tencor Israel (Israel) [10145-114]
  16. Peled, E., Amit, E. et al., “Spectral tunability for accuracy, robustness, and resilience,” SPIE 2018, Tuesday 27 February, Session 7 [10585-27]


This paper was originally presented at SPIE Advanced Lithography 2018: S. Lozenko, T. Shapoval, G. Ben-Dov, Z. Lindenfeld, B. Schulz, L. Fuerst, C. Hartig, R. Haupt, M. Ruhm, R. Wang, “Matching between simulations and measurements as a key driver for reliable overlay target design,” Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105851E (13 March 2018); doi: 10.1117/12.2297011