Multi-beam Sees The Light

The technology has made significant progress in mask writing, but its future in direct-write litho remains in limbo.

popularity

The multiple-beam electron-beam market is going in two separate directions at once. Multi-beam for photomask writing is set to take off. The other market–multi-beam for direct-write lithography applications—is still in the early stages and remains in flux.

In the multi-beam direct-write segment, for example, multiple sources indicate that KLA-Tencor is exiting this market to focus on its core inspection and metrology tool businesses. Officials from KLA-Tencor declined to comment.

Overall, however, the multi-beam field in general is alive and well. For example, the other multi-beam direct-write players—Mapper Lithography and Multibeam–are still moving full speed ahead with their respective technologies.

And if all of the pieces fall into place, photomask vendors soon will make a gradual transition from traditional single-beam e-beam tools to a new class of multi-beam mask writers. In simple terms, the write times for single-beam e-beams are becoming too slow for complex masks, fueling the need for multi-beam technology. Using an array of multiple beams to speed up the throughputs, multi-beam e-beam could also keep mask costs in check.

“What we are witnessing is a major shift in the e-beam market (for mask production),” said Aki Fujimura, chairman and chief executive of D2S. “The last time this transition occurred was when variable shaped beam e-beams appeared about 20 years ago. The shift (to multi-beam e-beams) represents the same type of revolution.”

In fact, the team of IMS Nanofabrication and JEOL are currently readying the industry’s first multi-beam mask writers in the market. Targeted for insertion at the 10nm node, the tools combine IMS’ multi-beam technology with JEOL’s platform and stage. The multi-beam tools from the IMS-JEOL duo are still in the alpha stage, although the first systems are expected to be in the field by 2015.

The multi-beam mask writers from IMS-JEOL pose a threat to NuFlare, the dominant supplier of single-beam e-beam tools in the mask market. In response, NuFlare is shipping a new single-beam e-beam, which will supposedly extend to 7nm. But in a move to hedge its bets, NuFlare is also jumping on the multi-beam bandwagon. In fact, the company is refining its initial multi-beam tool, which will ship within the next two years.

As with any new technology, the industry must take a wait-and-see approach with the multi-beam mask writers. Multi-beam in general has been in R&D for years and the technology is difficult to master. And the tools from IMS-JEOL and NuFlare are still not proven in production.

“Certainly, (multi-beam e-beam for mask writing) is going to happen,” said D2S’ Fujimura. “But until the systems are shipped, you always wonder if you will be able to use them in production. And will they be on time?”

Masked market
Like the e-beam market, the photomask itself is also undergoing some dramatic changes. Photomask production starts after the mask shop receives a CAD file for a specific chip design. Then, the data must be transferred to a photomask, which basically consists of a chrome layer on a glass substrate. In the flow, the mask is coated with a layer of resist. The pattern is exposed using an e-beam and then etched.

Typically, the e-beam patterns the critical layers on a mask. Starting in the 1970s, mask makers used e-beams based on Gaussian technology. This technology hit the wall at the 130nm node and was replaced by e-beams based on variable shaped beam (VSB) architectures. Used for today’s masks, VSB-based e-beams consist of two shaped apertures that are used to form a triangular or rectangular beam.

The mask making process, including the e-beam, is directly tied to lithography. For years, chip feature sizes were larger than lithography wavelengths, making mask production a straightforward process. Then, the industry extended 248nm lithography beyond the denoted wavelength, which, in turn, increased the complexity of a mask. At that point, the mask required resolution enhancement techniques (RETs), such as optical proximity correction (OPC) and phase shift.

Today, IC makers are extending 193nm lithography to 20nm and beyond, thereby adding more RETs and multiple patterning to the mix. In addition, the lines on the mask are becoming narrow. Less sensitive photoresists are required to achieve an acceptable line edge roughness. With these less sensitive resists, the electron dose will increase.

“(Multiple patterning) means the customer needs many masks for one layer,” said Naoya Hayashi, research fellow at Dai Nippon Printing (DNP). “Based on Sematech’s recent survey, for example, people are going to use more than 70 masks per set for leading-edge nodes. The maximum is about 100. We have to make so many masks at the same time, but the writing time is much longer.”

Simply put, the e-beam is struggling to keep up with mask complexity, causing an alarming increase in write times and production costs. Write times—one of the key throughput metrics for e-beams—have increased by about 25% a year since 2011, according to the Sematech survey. In 2013, the maximum write time reported for a mask was 60 hours.

Going forward, mask complexity will increase, which will impact write times and costs. “We have resolution challenges for the mask,” Hayashi said. “Also, technologies like source-mask optimization, or inverse lithography, are going to use curved linear features. So it’s not straight lines, but rounded lines. Currently, we are using the mask writing system for square shots. So it’s quite difficult to make curved linear features with smaller shots. That will also increase shot count to make curved linear features. That adds to the complexity.”

And contrary to popular belief, the issues won’t go away with extreme ultraviolet (EUV) lithography. With EUV, more critical layers can be exposed on one mask. However, EUV masks will have more dense patterns, which may result in more shot counts, and increased write times, for the e-beam. “EUV has fewer process steps,” said Christopher Progler, chief technology officer at Photronics. “It doesn’t mean they are easy. It just means that they are relatively straightforward.”

E-beams strike back
Not surprisingly, NuFlare is not standing still with single-beam, VSB-based technology. It recently rolled out the EBM-9000, a 50-KeV single-beam system. “The new single-beam e-beam system from NuFlare will have a larger current density to improve the throughput by around 30%, depending on the pattern layout,” DNP’s Hayashi said. “Some data prep technologies will also reduce the shot counts.”

Still, the handwriting is on the wall for single-beam, as some experts say the technology could hit the wall at 7nm. “With tighter tolerances and higher data volumes, the current single-beam systems will struggle to maintain mask cycle times and costs,” said Brian Grenon, principal of Grenon Consulting. “The question of affordability will remain in the eye of the beholder. The higher mask costs will be a function of the number of masks you can write on one e-beam system and the yield of that system.”

Without a doubt, the solution to the problem is clear—multi-beam mask writers. Instead of a single beam, multi-beam uses thousands of beams to speed up the mask writing process. “Multi-beam systems will decrease write times and hence reduce yield loss,” Grenon said. “They will not replace conventional e-beam systems, but it will complement the mask fabricators’ pattern generation suite. Conventional e-beam systems will still be used to write less leading-edge masks with looser tolerances and shorter write times.”

For some time, IMS has been developing multi-beam technology for mask production. The company’s proof-of-concept tool consists of a 512 x 512 matrix of 262,144 programmable beams at 20nm beam sizes. The 50-keV tool has demonstrated a half-pitch resolution of 24nm.

Earlier this year, IMS teamed up with JEOL as part of an effort to co-develop mask-writing tools. IMS will provide the multi-beam technology, while JEOL will become the systems integrator.

Mask makers have high expectations for these tools. “A very complex mask will take more than two days with a single-beam system,” DNP’s Hayashi said. “The write times for the next-generation multiple beam writer is targeted for 10 hours. Of course, there are challenges (for multi-beam). Position accuracy, CD control and data processing will be needed to reach that target of 10-hour write times.”

Still to be seen, however, is when multi-beam will move into production. “The 14nm node is already qualified with a single beam system,” Hayashi said. “Currently, mask makers are going to develop 10nm node masks with single beam. But we think the production stage of 10nm, and also the 7nm node, will use the multiple beam approach.”

Multi-beam faces other challenges. “JEOL and NuFlare are the two incumbents in the e-beam field. Both companies are saying that multi-beam is in their future. That really brings forth the investments on the infrastructure side. The rest of the ecosystem needs to get ready,” D2S’ Fujimura said.

Direct-write shakeout?
Meanwhile, multi-beam for direct-write lithography applications is still taking root. Direct-write is not a new technology. For years, the industry has been using single-beam e-beams to pattern images directly on the wafer and without a photomask.

But the throughputs for single-beam e-beam are too slow, making it too expensive for volume IC production. So, direct-write e-beam has been relegated to niche applications, such as select ASICs and compound semiconductors.

Seeking to bring direct-write into the mainstream, Mapper and Multibeam have been separately developing tools that make use of multiple beams. Both Mapper and Multibeam are still in the R&D stage.

KLA-Tencor, meanwhile, has been developing Reflective Electron Beam Lithography (REBL), which was originally funded by DARPA. In fact, DARPA poured over $100 million in funding into the REBL program.

KLA-Tencor was able to develop an alpha tool, based on the REBL concept. At the recent SPIE conference, the company reported some impressive results. The key to the technology is a CMOS-based digital pattern generator module, which enables more than 1 million beams at full current. Reports of its exit from this market leave its development efforts in question.

Still, it comes as no surprise that there is a shakeout in the overall multi-beam market. Only a few players can survive in a shrinking market. The list of leading-edge mask shops is shrinking and only a few chipmakers are still interested in direct-write.

But there is a pressing need for multi-beam in both the photomask and lithography markets. Each segment is looking for new breakthroughs, as patterning and mask costs continue to go in the wrong direction.



2 comments

Indifferent_observer says:

Mark, the final statement of your
paper: “… there is a pressing need for multi-beam in both the photomask and
lithography markets” is both very correct in its starting section “…there is a pressing need for…”, and not very incorrect in what
follows after “multi-beam etc.”. Because what is indeed needed is a technology
that will provide high productivity and acceptable costs. And it is not necessary that it will be a multi-beam based technology. The latest and greatest developments in this field (KLA-REBL silent fiasco, MAPPER silence about their promised Matrix 13,000 e-beam litho machine to TSMC) most probably indicate that the solution is not located in the multi-beam domain.

Back in early 2012 I initiated a LI
discussion “Direct Write E-Beam Liitho- is it a Reality or a Wishful Dream? Well, maybe a greatly expensive dream…”, which is still up and running and quite a number of experienced and educated in EBL engineers and technologists were expressing their opinions on the EBL matters. There is a lot of detailed relevant and useful considerations about the EBL there (https://www.linkedin.com/groups/Direct-Write-EBeam-Liitho-is-1874399.S.97351367?view=&gid=1874399&type=member&item=97351367#commentID_null)

Mark, it should be noted, that the definition “Multi-beam” is not actually correct. What in fact is explored is actually an initially single beam emitted from a cathode and which, prior to getting to the wafer, is
patterned with the help of a device (called, for example, Digital Pattern
Generator, DPG in the REBL definition) as per the pattern to be written at the currently exposed wafer location. Thereby I would call it Pseudo-Multi-Beam, PMB, and the simple single beam, SB.

Introduction of the beam patterning device, no matter is it based on the utilization of ON/OFF reflection pixels, or transmittance
ON/OFF apertures, or any other possible beam patterning approach, makes the
simple original SB EBL concept to become a completely different technological
ballgame. People having experience working with SB EBL know well that this
technology, being an open system, is unstable even in a reasonably short term, requiring implementation of beam parameters controlling approaches, which complicates its utilization in the 24/7 HVM environment.

The beam patterning device, exposed to electron bombardment under not perfect vacuum conditions, introduces another strong source of performance instability, which is pixel dependent, and also non-uniformly distributed, and there is no any way in principle to correct it on the level of individual patterning features. The beam patterning features (pixels, apertures etc.) being extremely sensitive to their surface electrical properties, become continuously and increasingly contaminated under the
electron bombardment, which makes it impossible to stabilize the performance of
individual pixels. And, as a result, the performance of the overall beam
patterning device. And, as a result, the performance of the overall EBL machine
even in a very short period of time.

The beam patterning device quick uncontrollable and non-uniform performance degradation is just one factor in a whole list of other factors affecting the performance of the PMB approach thereby making the utilization of the PMB DW EBL to be practically impossible in the high volume manufacturing environment.

Among other factors are potential electron bombardment induced chip device damage, e-beam induced heating, cathode emission non-uniformity and its time-dependent degradation etc.

Indifferent_observer says:

Mark,
A couple of weeks ago I decided to end up with my involvement in the DW EBL discussions due to no sense to go on with it because it looked like everything that could be considered considering the PMB DW EBL for wafers was already discussed and concluded… Especially because the most recent developments in the field proved the conclusions to be adequate and I thought that there is nothing that could be added here.

However, recently I ran into some important publications and convinced myself to put together this note because it is not about the past state of the art of this technological concept but about its future.

I found out that there is quite a number of theoretical works analyzing the EBL concept consistently indicating that the writing rate is reverse proportional to the resolution in power 4,

WR ~ r^4

Which immediately triggered in my mind the following speculation:

1. KLA-REBL promised to switch their targeted machine specification to run 100 wph by clustering 100 columns in one parallel processing machine expecting the resolution to meet up to 10 nm. Let us see how “scientific” was their estimation

2. Assuming they@KLA-REBL succeeded with their 45 nm column (5 wph). Just to meet 100 wph target REBL would need 20 columns. The resolution increase to 18 nm is (45/18)^4≈ 40, and to 10 nm, correspondingly, 400, which brings us to an estimation of at least 800 columns for 100 wph@18 nm and 8000 columns for 100 wph@10 nm, by far more than their self esteem of 100 columns.

One can say that if I discovered it just now, why other PMB DW EBL guys could not also miss it. Well, I am just a chemist, so, what could be an excuse for me could not be an excuse for strategists and litho technologists leading such a important program as KLA-REBL, projecting 100 wph@10 nm with 100 columns,- just a misleading a pure wishful fantasy. Especially, not an excuse because the first work published on the r^4 matter came from Prof. Fabian Pease from the neighboring Stanford back in 2007.

I believe the r^4 law indicates that even the true MB DW EBL future is under a big… well, a very very big question

Leave a Reply


(Note: This name will be displayed publicly)