Lithography Options For Next-Gen Devices


Chipmakers are ramping up extreme ultraviolet (EUV) lithography for advanced logic at 7nm and/or 5nm, but EUV isn’t the only lithographic option on the table. For some time, the industry has been working on an assortment of other next-generation lithography technologies, including a new version of EUV. Each technology is different and aimed at different applications. Some are here today, w... » read more

The Week In Review: Manufacturing


Chipmakers As reported, Intel is struggling at 10nm. Intel already has encountered some difficulties, as the chip giant late last year pushed out the volume ramp of its new 10nm process from the second half of 2017 to the first part of 2018, according to analysts. Intel continues to struggle with 10nm, and has delayed the volume ramp again, according to multiple reports. During its earnings... » read more

Manufacturing Bits: March 6


Security ICs with multi-beam Leti, a research institute of CEA Tech, and Mapper Lithography have developed a new application for its multi-beam, direct-write lithography technology—security. In 2016, Mapper Lithography introduced the FLX-1200, a direct-write, multi-beam e-beam system. Using a 5-kV acceleration voltage, a beam generator creates an electron beam about 3cm in diameter. Then,... » read more

New Patterning Paradigm?


Chip scaling is becoming more difficult at each process node, but the industry continues to find new and innovative ways to solve the problems at every turn. And so chipmakers continue to march down the various process nodes. But the question is for how much longer? In fact, at 16nm/14nm and beyond, chipmakers are finding new and different challenges, which, in turn, could slow IC scaling or br... » read more

What Happened To Next-Gen Lithography?


Chipmakers continue to march down the process technology curve. Using today’s optical lithography and multiple patterning, the semiconductor industry is scaling its leading-edge devices far beyond what was once considered possible. The question is how far can the industry extend 193nm immersion [getkc id="80" comment="lithography"] and multiple patterning before these technologies become t... » read more

Multi-beam Sees The Light


The multiple-beam electron-beam market is going in two separate directions at once. Multi-beam for photomask writing is set to take off. The other market--multi-beam for direct-write lithography applications—is still in the early stages and remains in flux. In the multi-beam direct-write segment, for example, multiple sources indicate that KLA-Tencor is exiting this market to focus on its ... » read more

DSA, Multi-beam Make Steady Progress


Semiconductor Engineering sat down to discuss current and future lithography challenges with Laurent Pain, lithography lab manager at CEA-Leti. What follows are excerpts of that conversation. SE: CEA-Leti has two major programs in lithography. One is in directed self-assembly (DSA) and the other is in multi-beam e-beam. Let’s start with multi-beam. What is Leti doing in multi-beam and what... » read more

Reaching For The Reset Button In Lithography


By Mark LaPedus Amid ongoing delays and setbacks, extreme ultraviolet (EUV) lithography and multi-beam e-beam have both missed the 10nm logic node. So for the present, chipmakers must take the brute force route at 10nm by using 193nm immersion with multiple patterning. Now, it’s time to hit the reset button. For the 7nm node, chipmakers currently are lining up the lithographic competition... » read more